High-Level Synthesis For Autonomous Drive

Why algorithmic-intensive designs work so well with HLS in automotive designs.

popularity

The sensors in autonomous vehicles continuously generate a high volume of data in real time about the environment surrounding the car. The vehicles need new hardware architectures to be able to process this data quickly and make decisions that enable self driving. Catapult, the industry’s leading High-Level Synthesis (HLS) platform, provides a new paradigm of designing silicon at a higher level of abstraction – in C++/SystemC – that improves the hardware design by an order of magnitude. It also enables a seamless verification of C++ and RTL as well as combines with PowerPro for measurement, exploration, analysis, and optimization of RTL power. The algorithmic-intensive designs for autonomous vehicles are a perfect fit for HLS – and the methodology has been successfully adopted by major semiconductor suppliers in the automotive space.

To read more, click here.



Leave a Reply


(Note: This name will be displayed publicly)