Luminary Panel Sees Multi-Beam Mask Writers And Curvilinear Masks Key To 193i And EUV

Purchases of new photomask equipment are expected to grow over the next three years.

popularity

Attendance was up and the mood was optimistic at this year’s SPIE Photomask and EUV conference held September 29 through October 3, 2024. The optimism was apparent as well for multi-beam mask writers and curvilinear masks during the eBeam Initiative’s 15th annual reception and meeting held on October 1. In the eBeam Initiative’s annual Luminaries survey, 93% of those surveyed said that purchases of new multi-beam mask writers for any process node will increase over the next 3 years. Over 70% agreed that curvilinear inverse lithography technology (ILT) would be useful for 193i leading-edge nodes and needed for EUV logic nodes at 2nm.

A panel of semiconductor photomask and lithography experts debated these survey results and more, reflecting the collaboration and additional data needed for remaining key challenges for EUV and curvilinear masks. I’ll cover highlights in two separate blogs, with part 2 focusing on EUV. Aki Fujimura, CEO of D2S, Inc., which is the managing company sponsor of the eBeam Initiative, moderated the 2024 panel discussion. He was joined by Naoya Hayashi, DNP Honorary Fellow; Harry Levinson, Principal Lithographer at HJL Lithography; and Glen Scheid, Operations Manager at the Micron Mask Technology Center (pictured below). Below is a recap of a portion of the panel discussion on these topics.

Photo (left to right): Glen Scheid, Harry Levinson, Naoya Hayashi, Aki Fujimura

Fujimura: Let’s start with the state of the union: the photomask industry is doing well; no one in the survey thinks that revenues will go down this year; the semiconductor industry is doing well (figure 1). What’s happening, Harry?

Levinson: Several things have been happening in parallel since 2016 when we see the growth starting for photomasks – the overall economy grew and photomasks grew with it. Even when COVID hit, it was good for semiconductors. There are several additional reasons such as new growth markets like automotive, AI and data centers along with consumers making choices based on high quality and good performance. The focus on lower-energy-consuming electronics means more application-specific chips, which in turn means more photomasks.

Fig. 1: 2024 eBeam Initiative Luminaries survey predicts an increase in photomask revenues; historical revenues since 2001 according to data from SEMI.

Fujimura: In the equipment space, we see that the luminaries are predicting growth in new purchases for multi-beam mask writers, inspection tools and laser mask writers (figure 2). Glen, what’s happening with multi-beam mask writers? Is it just for EUV?

Fig. 2: 2024 eBeam Initiative Luminaries survey predicts growth in purchasing new equipment in the next three years.

Scheid: EUV masks are being written with multi-beam mask writers. There’s no doubt about it and there’s just more masks being written to support all of the EUV install worldwide. So, there is no surprise to see multi-beam writers so strong and of course, inspection follows writers. If you write masks, you inspect them several times. But that’s not the whole story. Multi-beam writers have a capacity beyond the use for EUV. We see a use for them on high-end 193i. The layers using ILT or OPC for curvilinear are being written by multi-beam mask writers. That’s still not the whole picture, as multi-beam mask writers can push specifications further, for example LCDU, and registration can be better by writing on these advanced machines. Then there’s the classic problem multi-beam mask writers solve – run away write times on variable shape beam (VSB) machines.

Hayashi-san: We got the first high-volume production multi-beam mask writer in 2016. As a merchant mask shop, we’re not making EUV masks for production yet, but we’ve been making them for 5-6 years for research and development by equipment makers and consortia, for nanoimprint, EUV and now high-NA EUV masks. Both suppliers of multi-beam mask writers are working on solutions for the mid-range to meet the need created by end-of-life (EOL) of some VSB writers in use.

Fujimura: Speaking of mid-range masks, many of the luminaries predict that purchasing of laser mask writers will continue to increase on top of the great success that Mycronic has had with their SLX laser mask writer. (Mycronic was awarded the BACUS prize for SLX this year at the conference because of their success.) So, what do you think is driving the continued growth of laser writers in the minds of the luminaries?

Hayashi-san: Laser writer demand is up and maybe some advanced laser writer could cover the old VSB writer range. But it is a complex procedure to get compatibility between them but we are trying it.

Fujimura: There might be a role for mask process correction (MPC) in that.

Later in the panel discussion, the survey results turned to curvilinear ILT and masks starting with figure 3.

Fig. 3: 2024 eBeam Initiative Luminaries survey predictions for curvilinear ILT.

Fujimura: For years, the industry has been predicting that curvilinear ILT will be used for EUV and 193i. But in a new result this year, we see that 44% of those surveyed said curvilinear ILT could be useful for 193 dry, too. Harry, what do you think about that result?

Levinson: You always have a manufacturing advantage if you can use older equipment and extend your capability to shrink chips. I think one group that will really want to do this is 200-mm fabs. They do not have immersion lithography for 200 mm. If you want to provide your customers with a shrink, you could extend 193 dry with curvilinear ILT features.

Fujimura: Micron has clearly been the leader in curvilinear free-form ILT. What do you think, Glen?

Scheid: We definitely see the benefits of curvilinear. It’s likely there could be some benefits for 193 dry. The focus for us is to use curvilinear ILT to push 193i to avoid the transition to EUV on as many layers as possible or to enable patterning on EUV even further. We could apply curvilinear ILT to 193 dry but not now. Maybe later.

Hayashi-san: We can see the gradual trend for curvilinear features applied to 193i and EUV. We can use multi-beam mask writers for curvilinear ILT, and that trend is going up. But for the mask shop, there are still issues for data preparation and how to guarantee the quality of curvilinear features.

Fig. 4: 2024 eBeam Initiative Luminaries survey result ranking the concerns today in producing curvilinear masks.

Fujimura: Looking at the survey results for mask shop concerns in making curvilinear masks, we see that ILT software is number 3 this year (figure 4). Do you see any trends that are important, Hayashi-san?

Hayashi-san: Inspection is one of the highest concerns for us regarding curvilinear masks. We need the precise contour. There was an interesting presentation from Lasertec at the conference showing die-to-die inspection of curvilinear shapes had more false defects vs die-to-database. Curvilinear shapes will have more noise so die-to-database inspection will help with that. Because of noise, curvilinear masks will have more risk.

Scheid: Curvilinear will drive some concerns about inspection. A challenging one is in very tight curves, which can limit what you can see. I’m confident that algorithm development from the main suppliers can overcome it. Access to multi-beam mask writers has moved down the curve to allow more companies access and remove a barrier.

Levinson: There was a great paper from Luminescent many years ago showing you could improve the process windows if you had curvilinear features. It was viewed as a nice paper, but you couldn’t write the masks. Year after year, it was the same discussion. Once we solved that with multi-beam writers, everything else would be fine. Now we’re past that issue, everyone is looking at the details of what else. This year’s survey’s consistency in the responses from the past few years is showing more understanding of the issues across the industry.



Leave a Reply


(Note: This name will be displayed publicly)