Precise Control Needed For Copper Plating And CMP

The more complex the CMP processes, the more data they have to work with, and the bigger the gains from adopting machine learning.

popularity

Chipmakers are relying on machine learning for electroplating and wafer cleaning at leading-edge process nodes, augmenting traditional fault detection/classification and statistical process control in order to extend the usefulness of copper interconnects.

Copper is well understood and easy to work with, but it is running out of steam. At 5nm and below, copper plating tools are struggling to keep up with void-free fill in tighter features, and chemical mechanical planarization (CMP) equipment is being stretched to rapidly remove contaminants while minimizing dishing and erosion. Both can affect the performance and consistency of copper interconnects, which are used to connect signals on-chip and to connect devices to the power grid.

While new process chemistries and materials are being considered for next-generation interconnects, engineers and their OEM partners continue to focus on how to tweak existing materials and equipment to improve yield and maximize equipment uptime. That requires higher precision at each new node — particularly at the lowest metal levels, due to shrinking CMOS devices and the subsequent interconnect size and pitch — and the best way to manage that is by using data from in-situ or inline sensors along with ML-based analytics for advanced process control and improved tool health and productivity.

Existing CMOS processes (>5nm) rely on sputtering and electroplating to form the copper interconnects. CMP simultaneously planarizes and removes the excess copper across a 300mm wafer with stringent planarity specs that necessitate slurry, pad, rotational speed, and downforce tradeoffs, depending upon a metal layer’s pattern density and feature size.

How quickly ML-based algorithms are applied to electrochemical deposition (ECD) and CMP systems depends upon the availability of appropriate data and the complexity of both process recipes and OEM equipment. Nevertheless, the equipment baselines of fault detection and classification (FDC) — and the decades of reliance upon statistical process control, which commonly depend on univariant or bivariate analyses — are no longer sufficient.

“SPC is no longer enough to provide adequate control and detect excursions. Incoming wafer pattern density and post-etch profile can significantly impact Cu seed coverage, fill (ECD), and CMP dishing/erosion. This necessitates full loop control (feed-forward, feed-back, advanced models) at each of the process steps and additional sensors,” said Jon Holt, senior director of external product management at PDF Solutions. “Advanced ML control loops have been put in place to improve equipment availability, manage consumables, and improve controls.”

Fig. 1: Damascene copper fill and CMP wiring process. Source: Lam Research

The copper ECD equipment has tens of measurements to monitor, and the process steps and associated equipment components are less complex than CMP polishers. As a result, advanced algorithms have been less of a focus on the ECD side. “Platers have high-speed hot entry current monitoring and chemical concentration measurements,” said Boyd Finlay, director of solutions at Tignis. “Every wafer has been FDC-enabled for years now, which covers these aspects. Plating has been less of an ML focus and more of an FDC focus, which is why it was rarely discussed as a problem when I worked in the fab.”

A copper CMP process has at least 10X more equipment, materials, and process control parameters than its preceding ECD process. Its equipment relies upon more mechatronic subsystems due to their mechanical nature. Chemical slurries and pads require finer monitoring than plating bath chemistries. Hence, CMP leads ECD in the shift from FDC and SPC methods (univariate and bivariant) to ML-based multi-variant algorithms.

“Overall, the increased use of equipment sensors has facilitated the transition toward ML-based advanced process control in CMP processes,” said Steven Grumbine, senior research fellow in the Materials Solutions Division at Entegris. “These advanced control methods leverage sensor data to enhance process efficiency, reliability, and product quality, ultimately leading to improved manufacturing outcomes and cost savings.”

Maintenance alert systems can significantly improve equipment availability and the management of consumables. Multiple industry experts identified opportunities for OEMs of both ECD and CMP equipment to use more sophisticated algorithms. “I see a real opportunity for OEMs to deliver smarter reliability centered maintenance [1,2] signals for embedded mechatronic subsystems [3] and components instead of the current SEMI standard ‘not so smart’ deluge of tool alarms and manually intensive raw trace data. OEMs could do so much more to improve the maintenance/FDC/predictive maintenance tool health signals for their customers,” said Finlay. “We are creating mechatronic encoders using AI methods to automate the creation of smarter signals for all key equipment subsystems, and already have these deployed in the field for chillers.”

Copper electroplating
Four key steps make up the copper interconnect damascene process used for today’s CMOS nodes. After dielectric etching creates a trench, a diffusion barrier layer (Ta/TaN) is applied, followed by a Cu seed layer. Both steps rely on physical vapor deposition using a sputtering target. Next, an ECD process fills the trench with copper.

ECD equipment places a silicon wafer and a copper source into a plating bath of copper sulfate and sulfuric acid. Applying a current causes copper to deposit onto the wafer and into the trenches. The copper properties and fill outcome performance are primarily controlled by bath temperature, the rate of solution flow, and chemical composition of the plating solution (a.k.a. bath chemistry). In addition, incoming current directly influences the copper deposition. By adding a Langmuir probe [5] to the tool, fab engineers can monitor the current.

Fig. 2: Wafer electroplating process. Source: Lam Research [4]

The problem is that as CMOS process nodes shrink, the aspect ratio of the trenches increases and the barrier layer consumes a greater portion of the trench. This makes it more challenging to completely fill the feature. The resulting defects commonly include voids and seams that impact resistivity, reliability, and yield.

Fig: 3: Copper fill structural defects. Source: Lam Research [4]

To enable void-free fill, specific chemicals are added during processing to accelerate plating at the trench bottom and suppress plating on the sidewalls. Also, a leveler chemical minimizes copper bumps that can form at the top of the trench, thereby assisting the subsequent CMP step.

Fig. 4: Chemical additives addressing structure fill issues. Source: Lam Research [4]

The monitoring of bath chemistry has evolved over the past two decades, especially at critical layers with smaller feature sizes. So as needed, engineers can continuously monitor electroplating baths to determine refresh needs. In-situ process mass spectrometry (IPMS) enables real time monitoring of bath chemistry to precisely quantify copper, chloride, pH, and organic additives in the plating bath. It also quantifies the additive byproducts as they form.

“Depending upon the specific application and processes, the frequency of monitoring or replenishing baths differs. Baths for advanced logic and memory for critical layers are normally measured every two to four hours,” said Qingyun Chen, director of advanced technology engagement at Entegris. “Automation and bath monitoring technologies have advanced greatly with more frequent and precise monitoring, more parameters, integrated process control systems, etc.”

CMP for Cu
CMP uses a combination of chemical and mechanical forces to planarize a wafer’s surface. Together, a chemical slurry and a polish pad dynamically polish a wafer surface to a uniformity of within a few nanometers. This requires equipment components that move and spin, and the associated motor-control systems manage their speed, placement, and directional movement.


Fig. 5: Chemical mechanical polishing fundamentals. Source: EMD Electronics

Slurry and pad compositions vary by process step, material, outcome parameters, and the feature density at the layer of interest.

“For the copper CMP process, achieving planarity is crucial and varies by metal layer. The slurry attributes required for metal-1 (M1) layers are more stringent than for metal-4 (M4) due to the critical role of the M1 layer in the overall device performance,” said Saifi Usmani, vice president and head of planarization at EMD Electronics. “CMP pad properties such as shore hardness, porosity, sub-pad compressibility, and top pad polymer formulation are tailored to meet the specific needs of each metal layer. Similar to slurry attributes, M1 layers require more rigorous pad specifications than M4 layers to ensure optimal planarity.”

There exist three CMP pad attributes critical to achieving a specified planarity. The ratio between step height reduction and the film thickness removal dictates planarization efficiency. Next, final planarization capability represents the minimum remaining step height a CMP pad can achieve in a specific CMP application. Last, on a per layer basis, an SoC’s pattern density and feature size affect overall planarization efficiency in terms of within-die and across the wafer uniformity.

“Consideration of these three attributes should not change when we design or select a CMP pad for certain applications. But the strictness of the attributes for planarity might be different,” said Entegris’ Grumbine. “For example, interconnect M1 to M4 layers with smaller feature size and more consistent pattern density are easier to be planarized than M10 to M12 layers with larger feature size and wider pattern density range. So M1 to M4 layers may accept a CMP pad with lower planarization efficiency and high pattern density dependency, but improved defect performance.”

Engineers monitor CMP materials, wafer properties, and equipment parameters to understand the process variability and equipment health. Standard practices for monitoring CMP pads and Cu slurries include, respectively, visual inspection of pads and periodic testing of slurry parameters (e.g. pH, viscosity).

Monitoring within CMP processing has increased due to availability of more sensors and the necessary finer process control demands. Parameters of interest include data logging of equipment parameters, such as motor currents, temperature, as well as tracking equipment performance metrics, such as material removal rates and surface roughness. But in terms of internal equipment, in the last five years new sensors provided by OEMs have been limited to OEM additions to CMP equipment.

“The only thing introduced was an eddy current sensor to measure pad thickness on one platen location,” said Tiginis’ Finlay. “CMP is crying out for more innovation. However, fabs have been developing their own IP on top of the OEM tools. Most of this involves sensing and detection techniques and/or instrumentation add-ons.”

Continuous improvement on CMP
While CMP is a mature technology platform, it’s evolving. “Several promising methods are under investigation to improve yield in Cu CMP processes,” said EMD Electronics’ Usmani. “These include advanced wafer profile control, next-generation post-Cu cleaning techniques, the development of defect-free Cu CMP slurries, and real-time tunability of advanced process control (APC) recipes for enhanced uniformity and removal rate, and edge wafer profile control.”

Advancements in CMP equipment technology, and the more stringent specs demanded by advanced CMOS nodes (e.g. <= 10 nm), continue to drive up the measurement rates. In addition, new data sources have enabled real-time monitoring to improve APC response time. Taken together, these can improve process control, predictive maintenance, and management of consumables. That data also can improve statistical process control and fault detection and classification.

“Advanced algorithms are being used for copper polish to control conditioner arm sweep rates across the pad zones during the lifetime of the pad,” said Tignis’ Finlay. “This has been the norm in advanced CMOS fabs for many years now to deliver better pad life versus process control. Inline slurry sensing and detection also has been improved over the past ~10 years with atomizer/decorator approaches to sub-10nm particle/particle density measurements. There are also extensive FDC baselines on lots of polishing equipment parameters. Some fabs have also deployed IR (black body) cameras to monitor platen/pad temperature signals. And then there are things like serialized control of parts tracking e.g. polishing head/rebuild quality controls.”

More data equals better equipment automation
Management of the overall module health and materials management can greatly benefit from in-situ data, which drives equipment automation.

“The automation within copper CMP equipment has significantly advanced to meet the demands of increased equipment uptime, consumable management, and SPC. Equipment OEMs have integrated more sophisticated hardware and software for early failure detection,” noted EMD Electronics’ Usmani. “For example, CMP consumables management now includes closed-loop flow controllers for slurry delivery systems, in-situ pad groove depth monitoring, and torque monitoring systems for diamond disks. In addition, multi-sensor arrays can detect process drift, with the data captured as fault detection traces in the tool with set alarm limits to provide early warnings.”

Others concur. “The increased use of equipment sensors has revolutionized process control methods in the context of SPC for CMP processes,” said Entegris’ Grumbine. “With the proliferation of sensors capable of collecting vast amounts of real-time data, engineers now have access to more granular and continuous information about process variables and equipment performance. For example, real-time monitoring of critical process parameters, such as pressure, temperature, flow rate, and material removal rates, allows for adjustments to maintain process stability and product quality. Also, sensor data patterns can identify early warning signs of equipment degradation or malfunctions, enabling proactive maintenance interventions to prevent costly downtime.”

The bottom line: The copper interconnect damascene fill processes on existing advanced CMOS nodes benefit from evolving advanced process control that comes with the increase in equipment sensors and measurement rate. More data permits more advanced process control algorithms that are multi-variant and ML-based. With less data than CMP, ECD processes are in less need of such solutions. In contrast, the more complex the CMP processes, the more data an engineering team has to work with, and the bigger the gains from adopting machine learning.

References

  1. Operations & Maintenance Best Practices Guide, Chapter 5, US Department of Energy, 2010, https://www1.eere.energy.gov/femp/pdfs/OM_5.pdf
  2. https://en.wikipedia.org/wiki/Reliability-centered_maintenance
  3. https://en.wikipedia.org/wiki/Mechatronics
  4. https://newsroom.lamresearch.com/Tech-Brief-Elements-of-Electroplating
  5. https://en.wikipedia.org/wiki/Langmuir_probe

Related Stories
Using Predictive Maintenance To Boost IC Manufacturing Efficiency
Smarter tools enable smarter fabs but the logistics of predictive maintenance challenge implementation.
How Far Will Copper Interconnects Scale?
Creative methods keep extending the performance of copper lines and vias.
Ramping Up IC Predictive Maintenance
Data centers and automotive chips begin using on-die circuitry to predict silicon failures.
Adopting Predictive Maintenance On Fab Tools
Predictive maintenance cuts equipment downtime while boosting fab efficiency.



Leave a Reply


(Note: This name will be displayed publicly)