Speeding Up E-beam Inspection

There is an urgent need for new technology in EUV mask inspection and traditional wafer inspection.

popularity

Wafer inspection, the science of finding killer defects in chips, is reaching a critical juncture. Optical inspection, the workhorse technology in the fab, is being stretched to the limit at advanced nodes. And e-beam inspection can find tiny defects, but it remains slow in terms of throughput.

So to fill the gap, the industry has been working on a new class of multiple beam e-beam inspection tools, including one promising technology from Carl Zeiss that has imaged the brain of a mouse. But the problem is that multi-beam wafer inspection is still several years away from mass production.

“Multi-beam e-beam inspection is a difficult technology to implement,” said Srini Sundararajan, an analyst with W.R. Hambrecht/Summit Research. “In simple terms, two beams of electrons will repel each other if they are too close. So the beams have to be shielded throughout the e-beam paths. In my opinion, it is like EUV. The science is there, but the technology implementation takes longer.”

So in the interim, chipmakers have found new ways to speed up the throughputs with existing single-beam e-beam inspection tools. But still, there is an urgent need for multi-beam inspection technology, especially in two markets—EUV mask inspection and traditional wafer inspection.

Optical vs. e-beam
The overall wafer inspection market is subdivided into two main tool technologies—optical and e-beam. In 2014, optical represented 86% of the total wafer inspection market, while e-beam had 14%, according to Gartner and Hermes Microvision (HMI), a supplier of e-beam inspection tools. In total, the wafer inspection market reached $1.7 billion in 2014, up from $1.6 billion in 2013, according to Gartner and HMI.

Optical inspection is used in the production flow and consists of two main technologies—darkfield and brightfield. Darkfield inspection is the measurement of light reflected at a lower angle. Used to find defects during the transistor fabrication process, brightfield collects light reflected from a defect. In turn, the defect appears dark against a white background.

Optical is by no means running out of steam. “We see optical inspection remaining as the inspection workhorse in the fab at 10nm and 7nm,” said Lior Engel, vice president of strategic marketing for the Process Diagnostics and Control Business unit at Applied Materials. “Optical inspection will continue to improve by increasing the signal-to-noise ratio of critical defects. This can be done by improving the signal from the defects and eliminating noise mechanisms. Defect signal can be enhanced by reducing wavelength and improving the light budget.”

Generally, e-beam inspection is used in the engineering and R&D groups. In e-beam inspection, the stage moves the wafer to a given location. The e-beam scans a select part of the wafer and the image is collected.

“E-beam inspection is being used to a limited extent in production for statistical sampling. In R&D, it is indispensable,” said Sundararajan of W.R. Hambrecht/Summit Research. “E-beam inspection is likely to become more important at the 10nm, 7nm, and 5nm nodes, because the features and the corresponding defects are smaller.”

There are two types of defects that an e-beam inspection tool can detect. “One is voltage-contrast type defects, such as electrical shorts or opens at a contact or a void in copper interconnects. In addition, e-beam inspection can also detect really small defects that cannot be caught by brightfield inspection because they are too small,” he said.

E-beam inspection has sensitivities down to 3nm, but the problem with the technology is widely documented. “There is a place for e-beam inspection,” said Brian Trafas, chief marketing officer at KLA-Tencor. “You can do fine resolutions with e-beam, but the problem is throughput. If I want to inspect a full die to qualify a new mask, it would take seven days with e-beam inspection. That would take one hour in an optical inspection tool.”

That’s why e-beam inspection is mainly used in R&D and not in production. “The e-beam inspection market is growing, and going forward it will continue to grow,” Applied’s Engel said. “For high-volume manufacturing adoption to happen, e-beam inspection throughputs will have to increase significantly to become an effective inspection tool, where defect density levels are low. When this does happen, we see an even higher growth rate and some of this may be at the expense of optical inspection.”

Two directions
E-beam inspection is splitting into two directions. First, the industry is speeding up traditional single-beam e-beam inspection. And second, the big hope is that the industry can accelerate the development of multi-beam e-beam inspection.

In today’s single-beam e-beam inspection tools, one key control feature is the landing energy. This refers to the amount of energy imparted on each electron before it hits a surface. If the landing energy increases, then more electrons can penetrate deeper into a surface. This, in turn, enables a tool to detect more defects at sharper images.

Not long ago, single-beam e-beam inspection tools had landing energy levels up to 3,000 volts. Today’s mainstream tools have landing energy levels at 5,000 volts, but some can go as high as 11,000 volts.

The maximum penetration depth with a 1,000 volt landing area is 2nm for tungsten, 4nm for copper and 20nm for an oxide, said Richard Hafer, a development engineer at IBM. “At 5,000 volts, these depths are almost 25 times more,” Hafer said.

That’s the good news. The bad news is the throughput. “When we go to smaller pixel sizes, we have a slower sampling frequency,” said Oliver Patterson, senior engineer for e-beam inspection at IBM. “We end up with inspection times that are 1,000 times, or maybe 5,000 times, slower than other types of (optical) inspection.”

In response, IBM and HMI have devised a new method to speed up e-beam inspection. The method is called Targeted Critical Area Inspection. “The idea is to only scan dense areas of the chip using targeted hot spot inspection,” Patterson said.

In this methodology, the e-beam targets a select and critical part of a structure. The tool assigns a rectangular shape for the given area. The lower left and top right corners of the rectangle are assigned X and Y coordinates. Then, the tool’s field of view (FOV) inspects around these coordinates.

In one case study, HMI’s e-beam inspection tool was used to find shorts in the metal one layer in an 8mm2 test chip. A 7nm pixel size with eight averages was used in the study. About 25% of the total chip area was scanned.

Researchers compared two different pixel size areas in the scan phase–1k x 1k and 2k x 2k. The results were mixed, as many but not all of the defects were captured by the tool. But by looking at more than 25% of the chip, the tool could capture more defects, Patterson said.

What about throughput? The 1k x 1k scan rate was too slow. “The 2k x 2k scan rate is 36% of the full scan rate and the scan time is 58% of the full product scan, resulting in a 42% time savings. This savings could potentially be worthwhile,” he said.

Clearly, though, to speed up the throughputs, the industry wants multi-beam inspection. In theory, this technology can not only find defects down to 2nm, but it is also faster than today’s single-beam e-beam tools.

Applied Materials, HMI, Maglen, Multibeam and Sematech/Zeiss have been working on the technology. “Several companies are working on multi-beam e-beam inspection, based on incremental improvements to existing technologies. These could be available within the next couple of years, although with limited throughput improvement over single-beam approaches,” said Matt Malloy, a senior technologist at Sematech. “Truly massively-parallel e-beam inspection is required to enable the full potential of the technique. And this is likely two years out for a prototype and four years for a commercial system.”

Sematech and Zeiss have been co-developing a massively-parallel e-beam inspection tool. The idea is to take Zeiss’ existing MultiSEM electron microscope and adapt it for wafer inspection.

The MultiSEM gained notoriety when it was used to image a mouse brain in a biological study. The system consists of 61 parallel electron beams. Each beam generates an image of a select area.

In the lab, the tool has been used to inspect 28nm and 14nm half-pitch patterns. The results are promising. The system was able to inspect an unspecified structure in just 47 minutes.

Over time, Sematech hopes to scale the tool to 331 beams. But turning a microscope into a production-worthy wafer inspection system is no simple task. “This is a challenge,” Malloy said. “You have to take into account the algorithms and image data. The question is how do you find the defects?”

Others are also in the R&D phase. Maglen, for example, is developing a modular, 2D multi-column array for e-beam inspection. The array, which consists of 69 columns, is based on a permanent magnet lens technology.

“The concept is based on modules,” said Tony Luo, founder of Maglen. “The first step is to test and optimize a small array module. The next step will be to duplicate them and put them together.”

The problem for Maglen and others is that it will take millions of dollars to develop a viable tool. Even then, a tool for high-volume manufacturing may not appear before 2020, according to Luo.

The technology is promising, but will it work? Time will tell. “For many years, the industry has spent a lot of money trying to make higher throughput e-beam tools,” KLA-Tencor’s Trafas said. “It is still a long ways away compared to the throughput you can get with optical.”



Leave a Reply


(Note: This name will be displayed publicly)