The Week In Review: Manufacturing

Cricket fabs; Samsung ships 14nm finFETs; Applied-TEL update; new eBeamers.

popularity

For years, chipmakers have attempted to build fabs in India. So far, however, India has failed to set up modern fabs and for good reason. There are issues in terms of obtaining dependable power and water for a fab in India, according to Will Strauss, president of Forward Concepts, who added that India also suffers from government bureaucracy.

India is still trying. Last week, Cricket Semiconductor, a U.S.-based company, announced plans for the creation of an analog foundry in India. The company’s founders include Lou Hutter, formerly with TI, Dongbu and other firms. The venture has an investment of close to $1 billion. “With an investment of close to $1 billion, this is a significant undertaking. In addition, the local government is providing free land, reimbursement for the cost of building the fab shell, 24X7 power supply from two separate power grids and quality water supply at the doorsteps of the fab units at an internationally competitive price fixed for 10 years,” Strauss said.

According to Strauss, there are two additional IC fabs that are planned for India: 1) A fab proposed by Jayprakash Associates in partnership with IBM and TowerJazz; 2) The other fab is proposed by Hindustan Semiconductor Manufacturing Corp. (HSMC) in partnership with STMicroelectronics and Silterra.

————

Samsung Electronics has begun mass production of the industry’s first mobile application processor using 14nm finFET process technology. Samsung is building the finFET processor within its own fab.

Samsung Electronics has agreed to acquire LoopPay, a mobile wallet solution provider that turns existing magnetic stripe readers into secure, contactless receivers.

What’s new with Applied Materials’ proposed acquisition of Tokyo Electron Ltd. (TEL)? Germany, Israel and Singapore have approved the deal. Now, Korea has approved to merger, according to a report from The Korea Times. China, Japan and the United States have yet to approve the deal.

Holon and Photronics have joined the eBeam Initiative. The eBeam Initiative is a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron-beam technologies.

KLA-Tencor introduced two advanced metrology systems that support the development and production of 16nm and below IC devices–Archer 500LCM and SpectraFilm LD10. The Archer 500LCM overlay metrology system provides accurate overlay error feedback through all stages of the yield ramp. The SpectraFilm LD10 metrology system enables qualification and monitoring of the films and film stacks used in the fabrication of finFETs, 3D NAND and other leading-edge devices.

GlobalFoundries announced a partnership with Imec for joint research on future radio architectures and designs in mobile devices and IoT applications.

Gigaphoton has achieved continuous operation of 140 Watt EUV light source at 50% duty cycle on its prototype laser-produced plasma (LPP) light sources for EUV lithography scanners.

Axcelis released a letter to the company’s stockholders outlining the actions the board and management team have taken to create stockholder value.

Veeco posted a big loss for the quarter. The company also took an impairment charge for its ill-fated step into ALD.



Leave a Reply


(Note: This name will be displayed publicly)