Who’s Winning The FinFET Foundry Race?

Slugfest looms as foundry giants place their bets on when, and if, volume will ramp.

popularity

The leading-edge foundry business is challenging. For starters, foundry vendors require vast resources, gigantic fabs and lots of know-how. And yet, it’s still difficult to make money in this business.

That has certainly proven to be the case in the planar transistor era, but the challenges and costs are escalating as foundry vendors begin to ramp up finFET technologies at the 16nm/14nm node and beyond. For finFET production, foundry vendors require even deeper pockets, bigger fabs, sound technology — and customers. The question is which vendors can keep up in the high-stakes finFET foundry race, which currently consists of the four heavyweights in the IC industry — GlobalFoundries, Intel, Samsung and TSMC.

So far there are no clear-cut winners in the finFET foundry arena. Foundries are still in the early stages of finFETs and the market is up for grabs. But there are already some surprising developments in the market. For example, TSMC recently said that its latest 16nm finFET technology won’t ship until late 2015, which is later than expected. As a result, TSMC may lose initial finFET orders from Apple and Qualcomm to two foundry rivals, GlobalFoundries and Samsung, according to analysts.

On the other hand, TSMC is accelerating its 10nm finFET efforts in an apparent move to gain an edge at that node. But still, GlobalFoundries, Intel and Samsung are expected to ship their latest 16nm/14nm finFET technologies ahead of TSMC. And one vendor, GlobalFoundries, is building up significant finFET fab capacity ahead of the competition.

It’s too early to declare the winners or losers in the finFET foundry arena, but one trend is becoming apparent — the leading-edge foundry market is headed toward consolidation. “Short-term, during the first two years of finFET production, there is no need for more than 50,000 wafers a month capacity from all foundries to satisfy the market demand for finFETs,” said Samuel Wang, an analyst with Gartner. “In the long run and before 2018, there is no need to have more than 250K wafers a month capacity to support the market demand for finFETs. This kind of demand can be satisfied by two large foundry players. So if all of the foundries are successful in developing finFETs, we could see a shakeout happen in the foundry business.”

Looking at the market from a different angle, Kelvin Low, senior director of foundry marketing for Samsung, said: “The market wants choices. It also requires large investments (by the foundries). Not that many companies can do it.”

So, foundry customers face some difficult choices in terms of selecting a foundry vendor for finFET production. Customers may be asking themselves the following questions: Which foundry vendor can deliver finFETs on a timely basis with good yields? And which company has a finFET roadmap beyond 16nm/14nm?

Selecting the right partner
Today, TSMC is the leader in the foundry business in terms of share, followed in order by GlobalFoundries, UMC, Samsung and SMIC, according to IC Insights. But in finFETs, it’s a new ball game. With the exception of Intel, the other foundries have little or no share in finFETs.

Obviously, selecting the wrong foundry partner could be a costly mistake. So initially, foundry customers must find a partner with deep pockets and for good reason. In terms of capital spending, 16nm/14nm finFET technology is projected to cost around $1.27 billion per 10,000 wafer starts per month (wspm), according to Pacific Crest Securities. An additional 20,000 wafer starts would add around $2.5 billion in capital spending to the mix, according to the firm.

But looking for a foundry partner with vast resources is only part of the equation. In fact, there is a laundry list of criteria in terms of choosing the right partner — technology capabilities; process flows; fab capacity; delivery schedules; service; and an EDA/IP ecosystem.

Each foundry is also in various stages of finFET production. So what is each foundry vendor doing in finFETs? Based on the projected shipment schedules alone, Intel will deliver its next-generation finFET technology first, followed in order by the GlobalFoundries/Samsung duo and TSMC.

Who’s on first?
In 2011, Intel was the industry’s first chipmaker to ship finFETs. Intel is shipping its own chips based on the 22nm technology. It also has garnered a handful of small foundry customers for the process.

Intel is making a bigger push in the foundry business at 14nm. At 14nm, Intel offers two finFET processes for customers — low power and general purpose. Altera and Panasonic are among Intel’s foundry customers at 14nm. But even Intel is having some yield issues with its 14nm process, causing it to push out the shipment schedule from the first half to the second half of 2014.

Still, Intel has a lead over its rivals in finFETs. “We have the best technology,” said Sunit Rikhi, vice president of the Technology and Manufacturing Group at Intel and general manager of Intel’s Custom Foundry unit. “Before and at 22nm, we had the best transistors, but not the best interconnects. We’ve changed that. At 14nm, we have an entire generation of new (interconnect) technology. So for power and performance, and the economic benefit of Moore’s Law, we are the ones to beat.”

To date, however, Intel has only garnered a mere 1% share of the foundry business, according to Pacific Crest Securities. Even if Intel were to land Apple and others as foundry customers, Intel’s foundry share would only range from a modest 3% to 10%, according to the firm.

Intel is not looking to obtain a plethora of foundry customers. Instead, it hopes to work with a few high-margin customers. Intel, according to Pacific Crest, has several challenges in the foundry sector. First, it competes against prospective ARM-based foundry customers. Second, Intel still lacks key EDA tools and IP for a wide variety of customers, according to the firm.

The dynamic duo
Following Intel, the GobalFoundries/Samsung duo are expected to be the next foundries to ship their next-generation 14nm finFET technologies. In April, GlobalFoundries licensed Samsung’s 14nm finFET technology. Under the plan, GlobalFoundries will ramp up the process within its 300mm fab in New York. Samsung will ramp up the same technology within its own 300mm fabs.

GlobalFoundries and Samsung, which are partners in the Common Platform Alliance, are continuing their collaboration in finFETs. “We are offering a common technology (in finFETs),” Samsung’s Low said. “Customers have the flexibility of developing one design and issuing it to both companies. It provides flexibility in terms of sourcing.”

The companies offer two identical 14nm finFET processes—14LPE and 14LPP. 14LPE, which is ramping, is a low-power enhanced technology. 14LPP is a higher performance version, which will ship in early 2015, said Shubhankar Basu, product manager of GlobalFoundries, in a recent presentation.

Meanwhile, GlobalFoundries and Samsung appear to be taking different approaches in terms of their production ramps. Samsung is taking a more conservative route, while GlobalFoundries is more aggressive.

In total, the industry is expected to install 120,000 wspm of 20nm/16nm/14nm capacity by the end of 2014, according to Pacific Crest Securities. Of that figure, Samsung plans to have an installed finFET capacity of 10,000 to 15,000 wspm by year’s end, according to the firm.

“Samsung will only ramp a small amount of finFET capacity this year to prove its technology to potential customers, but not enough to support a volume ramp,” said Weston Twigg, an analyst at Pacific Crest. “Samsung will not add meaningful finFET capacity without an anchor customer.”

GlobalFoundries, meanwhile, is projected to have 35,000 to 40,000 wspm of finFET capacity within its Fab 8 facility in New York by year’s end. “This type of ramp would be incredible, since GlobalFoundries hasn’t successfully ramped up a node ahead of TSMC before,” Twigg said. “Whether GlobalFoundries can buck the trend and successfully ramp finFET ahead of others remains to be seen.”

By ramping up capacity sooner than later, GlobalFoundries hopes to get an early jump and capture finFET foundry business from one big customer, Apple. In the last several years, Apple had its application processors made on a foundry basis by Samsung. In fact, Apple represents a large percentage of Samsung’s foundry sales. But as Apple and Samsung continue to compete in the mobile market, Apple wants to reduce its reliance on Samsung as a foundry and components vendor. For that reason, Apple recently moved some of its application processor foundry business over to TSMC, which, in turn, impacted Samsung’s foundry sales.

Samsung is still doing a significant portion of foundry business with Apple. But in an effort to expand its customer base, Samsung recently entered into two new markets—28nm FD-SOI and 28nm RF. “We expect some customers will still migrate to finFETs. FD-SOI is a compromise on power and performance and cost,” Samsung’s Low said.

Playing catch-up
TSMC will remain aggressive in the planar nodes. But TSMC is also entering new territory with finFETs, where the company is playing catch up. The company has developed its first-generation, 16nm finFET. It is also developing a new and improved version, dubbed 16-FinFET plus. Volume production for 16-FinFET plus will begin in late 2015.

TSMC said it decided to focus on its 20nm ramp in 2014, which pushed out the production date with its new 16nm-based finFETs. “TSMC expects its market share at the 16nm/14nm finFET node to be lower than Samsung/GlobalFoundries in 2015, primarily due to a later time to market,” said Michael McConnell, an analyst with Pacific Crest. “We believe TSMC could lose initial orders for 16nm/14nm finFET from Qualcomm and Apple to Samsung/GlobalFoundries. That said, TSMC expects to regain leading share on 16nm/14nm in 2016 given order visibility.”

To date, TSMC has installed little or no finFET capacity. In total, the company has installed around 70,000 wspm of 20nm capacity, according to Pacific Crest. A portion of that capacity could be converted to finFET production in 2015, according to the firm.

To regain share, TSMC may have another trick up its sleeve. It is accelerating the development of its 10nm finFET technology, which could move into production by 2016. For customers, 10nm finFETs from the foundries may present a more compelling solution, compared to 16nm/14nm finFETs. The 16nm/14nm finFETs from the foundries combine finFET transistors with a 20nm planar interconnect scheme, which amounts to an 80nm pitch.

In comparison, Intel’s 14nm finFET technology has a more advanced 64nm pitch interconnect scheme, which, in turn, gives the company an area scaling advantage over the competition. To close the gap on Intel in area scaling, the foundries will bring out finFETs with a more advanced interconnect scheme at 10nm. But to achieve this goal, the foundries may require new tools and materials in the fab, which will be an expensive proposition.

“The looming battle is really not 16nm,” said Morris Chang, chairman of TSMC, in a recent conference call. “In my mind, the 16nm battle has already been fought…My thought (is) primarily on 10nm, not so much on 16nm.”

Time will tell if Chang is right. But no matter where the real battle occurs, it is almost certain to become a very expensive slugfest between the IC manufacturing giants.



5 comments

Stan says:

FinnFET looks stray path. 🙂 What is the destiny of TunnelFET?

Buzz says:

This article made sense until you start talking about GF as a real contender (in terms of highest WSPM and also first to market) at 14nm. Anybody who knows GF knows that is not really credible.

[…] Big foundries have largely developed their process technology for 16/14nm and 10nm, most are only ramping up partial capacity until they see where their competitors will hang their hat. It’s quite possible, for example, that if GlobalFoundries, Samsung, Intel commit to building massive capacity at 14nm at the expense of 10nm, TSMC may opt to put most of its effort into 10nm. And GlobalFoundries and Samsung are watching what TSMC is doing to make sure they don’t get leapfrogged, while also peeking over their shoulders at Intel, UMC, SMIC, and remaining deeply engaged in dialog with the large OEMs that can fill their fabs. In the finFET world, everything is in limbo. […]

SemiMike says:

GF is a dark horse that could get help from recent IBM talent pool in the area now available, and with agreements with Samsung and IBM systems people, but as Buzz pointed out, they did not look credible last year. We will see next few years what happens to them once they get focused on meeting customer needs, I am optimistic for 2017.

Richard Krupski says:

If AMD’s “Zen” Micro Architecture is as big as they are saying, this should help quite a bit.

Leave a Reply


(Note: This name will be displayed publicly)