There’s More To EUV Than Source Power

With a more powerful source, lithographers can use less-sensitive resists that are less prone to pattern collapse.

popularity

By Katherine Derbyshire
For some time now, most industry coverage of EUV lithography has focused on the light source. As my colleagues have pointed out, source power limitations impose major constraints on not only potential EUV-based device manufacturing, but even on development of sub-20nm devices and process technologies. When throughput is in the neighborhood of four wafers per hour, learning cycles take a long time and manufacturing is simply out of the question.

That does not mean, however, that solving the source power challenge would necessarily allow rapid introduction of EUV lithography. As Sematech Director of Lithography Stefan Wurm pointed out, other components of the lithography infrastructure still need substantial development, too.

Photoresist design has, all along, been tightly entwined with source power considerations. With a more powerful source, lithographers can use less-sensitive resists, which typically have better etch resistance, are less prone to pattern collapse, and generally are more compatible with the rigors of sub-20 nm device manufacturing. With a less powerful source, resist sensitivity must go up in order to achieve acceptable throughput, which generally means that other important resist characteristics will go down.

According to Wurm, chemically-amplified EUV resists have demonstrated good resolution for line/space patterns down to 14-15 nm half-pitch. Line-width roughness remains stuck at about 5 nm, though, with little or no improvement seen in the last several years. Equally worrisome, contact hole resists, though they have achieved 3 nm CD uniformity, remain far less sensitive than line/space resists.

Indeed, as a group from Sematech and JSR Micro showed in a presentation at this year’s SPIE Advanced Lithography meeting, the very parameters that improve CD uniformity and depth of focus reduce resist sensitivity. In chemically-amplified resists, exposure to light generates acid, which diffuses through the resist and catalyzes the de-protection reaction that renders the resist soluble in developer. Increasing the protective group ratio or using a bulkier protective group improves contrast and image quality, but increases the amount of acid needed, making the resist less sensitive. Similarly, reducing the acid diffusion length improves image quality but also reduces sensitivity: each photon exposes a smaller volume of resist.

Because contact holes for memory devices are likely to be one of the first layers exposed by EUV, the combination of poor resist sensitivity and poor source power puts device makers in a difficult spot. On the other hand, contact and via layers can be patterned using dark field masks. These masks are less vulnerable to the defects that continue to plague EUV mask blanks: defects that lie in dark areas do not affect mask printability.

EUV masks, like EUV optics, begin with a Si/Mo multilayer reflective substrate. Defects on the mask surface can often be repaired.  Defects embedded in the multilayer structure generally cannot. However, as T. Bret and colleagues at IMEC showed, it is possible for the absorber layer patterning to compensate for mirror defects, once they are found. Careful placement of the pattern can often hide known defects, particularly in dark-field masks.

Finding defects is a challenge in itself, though: mirror defects are nearly invisible to SEM inspection, while only a limited number of facilities can perform at-wavelength mask blank inspection. Actually printing the mask is the only way to evaluate the impact of a particular defect. Once printable defects are identified, Wurm said, TEM studies can identify the composition and potentially the source of the defect. As defects can be as small as 10-20 nm, the necessary TEM sample preparation is very difficult and Sematech’s capabilities are somewhat unique.

At this writing, there is no doubt that source power remains the most critical obstacle to EUV introduction. Still, while resist and mask blank development have seen encouraging progress, both still lag behind production requirements.



Leave a Reply


(Note: This name will be displayed publicly)