Assist Layers: The Unsung Heroes of EUV Lithography

Various materials work in concert with the scanner, photoresist and photomasks to make EUV lithography work.

popularity

Most discussions of advanced lithography focus on three elements — the exposure system, photomasks, and photoresists — but that’s only part of the challenge.

Successfully transferring a pattern from the photomask to a physical structure on the wafer also depends on a variety of films working together, including the underlayers, the developers, and a variety of surface treatments. In fact, much of the flexibility and adaptability of the process comes from these auxiliary materials, said Hyungju Ryu, principal engineer at Samsung Electronics, in a presentation at the recent SPIE Advanced Lithography and Patterning Conference. [1]

Lithography is the most complicated process to develop, and exposure system development and purchasing takes years. So once the design has reached production inside a fab, changes to the photomask are unwelcome. Consequently, optimizing pattern transfer for real-world conditions typically falls to the resist and etch processes.

Underlayers normalize wafer surfaces
In any layer after the first, a device wafer presents a non-uniform surface. Oxide patterns interlaced with silicon and metal cause variations in surface energy and wettability, and prior surface treatments can introduce roughness.

Underlayer coatings help smooth such feature roughness and improve exposure results. They do this by normalizing the surface energy, promoting resist adhesion, and reducing the risk of pattern collapse.

When thin resists are called for, as in high-numerical-aperture EUV, the resist layer alone might not capture an adequate exposure dose. A dense underlayer can help by resisting photoacid generator (PAG) diffusion, ensuring that the photoacid molecules remain near photoresist protection groups. Unfortunately, EUV photons have such high energy that their reaction with thin photoresist can excite secondary electrons from the underlayer, as well as from the resist itself. In metal-oxide resists, researchers at Applied Materials showed that these secondary electrons can improve crosslinking, ensuring that the entire resist layer is insoluble in developer. [2]

Mihir Gupta, an imec researcher, pointed out that underlayer design involves achieving a balance between etch selectivity and resist erosion. Etch selectivity is the difference in etch rates between two materials. [3] It depends partly on the interactions between the materials and the etch plasma. The greater the difference in those materials, the easier it is to identify process conditions that will etch one but not the other.

A dense underlayer can improve selectivity by offering a strong contrast to the resist. At the same time, total etch time is also an important factor in photoresist erosion. A dense underlayer etches more slowly, increasing the resist’s exposure to the etch chemistry. Balancing the two factors becomes even more challenging as resist thickness goes down.

Fabs often use the photoresist to pattern an etch-resistant hard mask, then depend on the hard mask to protect the wafer. If the resist is too thin, though, it can erode away before this first transfer step is finished. As resist thickness decreases, underlayer thickness should, too.

Unfortunately, as Brewer Science senior research associate Si Li and colleagues showed, conventional spin-on layers can fail to form a uniform coating as thickness goes down. Instead, Brewer Science engineers demonstrated a small molecule “spin-on primer” material, able to achieve thinner layers than conventional polymers. [4]

Researcher Wataru Shibayama and colleagues at Nissan Chemical achieved a similar result by first spin coating a primer layer, then rinsing it with solvent. [5] The team then compared the result to the purge cycle in atomic layer deposition. The solvent rinse removes unreacted primer, leaving behind a uniform, thin (10Å) layer.

Not all defects print: Top coats and developers
The image captured by the resist contains some level of stochastic defects, as previously discussed. This is due to both photonic and chemical shot noise, but it’s not the end of the story because not all defects captured by the photoresist will print on the wafer. Even after exposure, there are several opportunities to improve the final printed pattern. For example, DuPont Electronics technical manager Xisen Hou and colleagues noted that ArF and KrF lithography processes frequently use a topcoat after exposure, and before the post-exposure bake, to chemically “trim” the resist pattern by making defects and sidewalls more soluble in developer. [6]

The strength of the trimming effect is tunable. It can simply reduce the likelihood of bridge defects, or it can adjust the overall critical dimension (CD). In tests with EUV exposures, the DuPont team was able to reduce the required dose by 24% and yet achieve the same resolution.

The dry resist and dry development processes allow tuning of both the resist and the development parameters as part of the process recipe. Hyo Seon Suh, R&D team leader at imec, explained that a more aggressive development process can smooth line edges and remove some bridge defects. [7] At the same time, the more aggressive process might make line breaks more likely. Typically, the post-etch failure-free window is centered on a larger CD than the post-lithography window.


Fig. 1: (Develop) Optimizing dry development parameters improves roughness and bridge defects, while moderately increasing dose-to-size. Source: imec


Fig. 2: (Etch) Relative to after-development inspection (ADI), after-etch inspection (AEI) finds fewer defects and less roughness with an increase in overall CD. Source: imec

Metal oxide resists from Inpria, in contrast, depend on a wet track-based development process. In their resist, protective ligands surround a metal-oxide core. [8] By co-optimizing the resist and development chemistries, fabs can adjust the resolution/linewidth/dose tradeoff around their own requirements.

According to TEL researcher Cong Que Dinh, the key parameter to consider is m, the concentration of dissolution inhibitor in the resist. The change of m with depth (dm/dx) is a measure of complete or incomplete exposure. [9] The standard deviation σm is a measure of resist segregation and other contributors to chemical shot noise.

Generally speaking, reducing the exposure dose increases dm/dx. The surface of the resist might be fully soluble, but incomplete development can cause scum at the bottoms of vias and footing at the base of resist features. Reducing σm helps improve contrast and sharpen feature edges. In TEL’s ESPERT process, the developer chemistry reduces σm by changing the polarity of the exposed resist surface to facilitate dissolution. The TEL group was able to resolve 8nm half-pitch features, printed by interference lithography. At 10nm half-pitch, sensitivity increased by 30% with optimization of the developer chemistry, and linewidth roughness was reduced by 21%.

As a process develops, imec’s Hyo Seon Suh said that the absolute resolution achievable by the exposure tool is the first step, followed by etch systems capable of realizing that resolution on the wafer. But to actually realize those features in production designs, underlayers, developers, and other less-heralded elements of the process play a critical role.

References
(Editor’s Note: All papers cited were presented at SPIE 2023. Some may not be available yet for non-attendees.)
[1] Hyungju Ryu, et. al., “Chemical approach to make the most of EUVL: stochastic effect mitigation with developer and rinse,” Paper 12494-4, SPIE Advanced Lithography and Patterning Conference, 2023.
[2] Sudha Rathi, et. al., “Understanding the metal oxide resist and underlayer interaction for EUV lithography,” Paper 12498-23, SPIE Advanced Lithography and Patterning Conference, 2023.
[3] Mihir Gupta, et. al., “Scaled-down deposited underlayers for EUV lithography,” Paper 12498-73, SPIE Advanced Lithography and Patterning Conference, 2023.
[4] Si Li, et. al., “Novel assist layer to enhance EUV lithography performance of photoresists on different substrate,” Paper 12498-21, SPIE Advanced Lithography and Patterning Conference, 2023.
[5] Wataru Shibayama, et. al., “New functional surface treatment process and primers for high-NA EUV lithography,” Paper 12498-22, SPIE Advanced Lithography and Patterning Conference, 2023.
[6] Xisen Hou, et. al., “Chemical trimming overcoat: An advanced spin-on process for photoresist enhancement in EUV lithography,” Paper 12498-24, SPIE Advanced Lithography and Patterning Conference, 2023.
[7] Hyo Seon Suh, “Dry resist patterning readiness towards high-NA EUV lithography,” Paper 12498-1, SPIE Advanced Lithography and Patterning Conference, 2023.
[8] Peter De Schepper, et. al., “MOx resist formulation and process advances towards high-NA EUV lithography,” Paper 12498-2, SPIE Advanced Lithography and Patterning Conference, 2023.
[9] Cong Que Dinh, et. al., “Advanced development methods for high-NA EUV lithography,” Paper 12498-4, SPIE Advanced Lithography and Patterning Conference, 2023.



Leave a Reply


(Note: This name will be displayed publicly)