GloFo to Fab 28/20nm FD-SOI for ST; ST Tech Open to GF Customers

Designers wanting to move to 28nm FD-SOI now have their first foundry option

popularity

Two big pieces of news have recently been announced by STMicroelectronics:

  1. to supplement in-house production at Crolles, the company has tapped GlobalFoundries for high-volume production of 28nm then 20nm FD-SOI mobile devices;
  2. ST will open access to its FD-SOI technology to GlobalFoundries’ other customers.

The high-volume manufacturing will kick off with ST-Ericsson’s ARM-based 28nm NovaThor.

Here are other key points from the press release:

  • The 28nm FD-SOI generation, currently in the industrialization phase, is scheduled to be available for prototyping by July 2012.
  • The next node, the 20nm FD-SOI generation, is currently under development and is scheduled to be ready for prototyping by Q3 2013.

What they’re saying:

Joel Hartmann, STMicroelectronics Corporate VP, Front End Manufacturing and Process R&D, Digital Sector: “FD-SOI is ideally suited for wireless and tablet applications, where it provides fully-depleted transistor benefits using conventional planar technology, and this arrangement with GLOBALFOUNDRIES ensures our customers will have a secure source of supply.”

Philippe Magarshack, STMicroelectronics Corporate VP, Design Enablement and Services: “Porting Libraries and Physical IPs from 28nm Bulk CMOS to 28nm FD-SOI is straightforward, and designing digital SoCs with conventional CAD tools and methods in FD-SOI is identical to Bulk, due to the absence of MOS-history-effect. In addition, FD-SOI can be used for either extreme performance or very low leakage on the same silicon, by biasing dynamically the substrate of the circuit. Finally, FD-SOI can operate at significant performance at low voltage with superior energy efficiency versus Bulk CMOS.”

Gregg Bartlett, Chief Technology Officer of GLOBALFOUNDRIES: “We have a longstanding partnership with ST spanning joint R&D and manufacturing, as well as an unmatched heritage of expertise in SOI technology. We’re pleased to be working with ST to bring this next generation of SOI technology to market and enable continued momentum in the mobile revolution.”

While it might seem like all this is happening very fast, ST has been championing FD-SOI technology for about a decade. In fact, one of the company’s top SOI gurus, Advanced Devices Program Director Thomas Skotnicki, first wrote about it for us at Advanced Substrate News back in 2006. And we’ve been covering it regularly ever since.

For an in-depth look at ST’s FD-SOI design and manufacturing strategy and benchmarking results, be sure to check out their white paper. By the way, designers take note: they also indicate in the white paper that the 28nm FD-SOI Process Design Kit (PDK) is available now, targeting risk production by mid-2012. Evaluation SPICE models are now available for the 20nm node, and full PDK is scheduled by end of 2012, with risk production for 13Q3.

For easy access to the dozens of useful and insightful FD-SOI related articles by contributors on the leading-edge that we’ve published over the years, just hit the FD-SOI tag on the ASN website.

Seems like a new door has opened now, doesn’t it?

Fab 8, located in Luther Forest Technology Campus, Saratoga County, New York, USA is GlobalFoundries' new 300 mm Fab dedicated to advanced technologies. Maximum Full Capacity is 60,000 300mm wafers/month. GloFo also runs high-volume SOI at its fabs in Dresden and Singapore (source: Wikipedia).



Leave a Reply


(Note: This name will be displayed publicly)