Many Options For EUV Photoresists, No Clear Winner

Chip industry searching for optimal balance of sensitivity, resolution, and LWR at leading-edge nodes.

popularity

In EUV lithography, and especially high-numerical-aperture EUV, balancing tradeoffs between resolution, sensitivity and line-width roughness is becoming increasingly difficult.

Lithography patterning using extreme UV exposure depends on a resist mask that can simultaneously meet targets of small feature resolution, high sensitivity to EUV wavelength, and acceptable linewidth roughness. Unfortunately, the final step between photomask and patterned wafer is also the least predictable and least understood.

Simulations can accurately predict the areal image that a given combination of illumination and photomask will produce. Once that areal image encounters the photoresist, though, the situation gets messier. Photoresists are mixtures of many different components that interact with EUV photons in different ways. The printability of any given mask feature depends on the distribution of photoresist components and EUV photons in its immediate vicinity.

Chemically amplified resists
Three key parameters for evaluating a lithographic process — resolution, line-width roughness, and sensitivity — define what lithographers call the RLS triangle. In chemically amplified resists (CARs), improving one of these typically comes at the expense of the other two. In these resists, incident photons generate photoacid, which in turn deprotects the resist polymer. That makes it soluble in developer. The dimensions of the resist polymer and the photoacid diffusion distance define the ultimate resolution of the resist.

Sensitivity, meanwhile, measures the amount of energy needed to activate the photoacid generator molecules. Resist sensitivity limits the throughput of an EUV exposure system, and is therefore a major contributor to the overall process cost. Irresistible Materials CEO Dinesh Bettadapur estimated that reducing exposure dose by 1 millijoule/cm2 saves as much as $1 million per scanner per year.

Individual EUV photons have a lot of energy, but there aren’t very many of them. Feature roughness depends on the interaction between the distribution of incident photons — the shot noise — along with the distribution of photoacid generator (PAG) molecules in the resist and the dimensions of the polymer backbone molecules.

In work presented at February’s SPIE Advanced Lithography and Patterning conference, Emad Aqad, principal research investigator at DuPont, and his colleagues focused on extending CAR resists. They experimented with alternative PAG molecules, hoping that increasing the PAG molecule’s electron affinity would increase EUV absorbance. Instead, they found that activating a PAG molecule with a high electron affinity may require two or more thermal electrons, and therefore CAR sensitivity did not improve. On the other hand, the alternative PAGs improved feature roughness and gave better contrast between exposed and unexposed features.[⁠1]

The edges of pattern features lie at the tails of the incoming photon distribution. To increase sensitivity, a resist might reduce the number of photons needed to generate photoacid, or the amount of photoacid needed to deprotect the backbone molecule. Yet the same adjustment might increase roughness, as stochastic effects cause some parts of the feature edge to be more or less completely exposed. Similarly, to improve resolution a resist might rely on photoacid diffusion to “trim” feature edges, but uneven photoacid distribution also can lead to roughness. The post-exposure bake plays a critical role in managing RLS tradeoffs. Increasing the bake time or temperature encourages photoacid diffusion and photoresist deprotection reactions.

In EUV lithography, and especially high-NA EUV, balancing these tradeoffs becomes increasingly challenging. In high-NA exposures, the incident photons strike the wafer at a relatively shallow angle. Thin resist layers are essential to prevent shadowing and ensure an adequate depth of field. Yet a thinner resist necessarily absorbs fewer photons, reducing the effective sensitivity of the material.

Thinner resists also make the subsequent etch steps more difficult. Typically, erosion during the etch step makes lines narrower and spaces wider than the nominal dimensions. Excessively narrow lines make line-break defects more likely, while wider than nominal lines are prone to bridging. Resist erosion during etch can reduce feature roughness, but tends to shift the failure-free window — where neither bridges or breaks occur — toward larger than nominal dimensions.

Metal oxide resists
These challenges are driving innovation in photoresist design, as manufacturers look for better ways to manage the RLS triangle tradeoffs. While chemically amplified resists have reigned supreme since the adoption of deep ultraviolet lithography, they have been less successful in the EUV era.

In particular, the need for thin resist layers that can tolerate sometimes lengthy etch processes is driving interest in a variety of metal oxide resists. Here, metal oxide nanoclusters are surrounded by ligands. EUV exposure detaches the ligands, allowing bonding between adjacent clusters. The resulting metal oxide layer is much more robust than typical polymer resists and has excellent etch selectivity to typical hard mask materials.

These are inherently negative tone materials, though. Areas that are exposed to EUV light become less soluble in developer. This is a significant limitation, especially for applications like contact holes. Amrit Narasimhan, lead engineer at Inpria, reported that the company is developing reverse polarity ligands for use in positive tone resists.[⁠2]

Metal-oxide resists are relatively new. The details of their interactions with EUV photons are not yet fully understood. Ching-Yu Huang, associate professor in the University of Texas at Austin’s Computer Science & Technology Department, and his colleagues examined the behavior of -CH groups attached to tin oxo cages ([(MeSn)12O14(OH)6](OH)2). The more -CH groups were removed, corresponding to a higher EUV exposure dose, the stronger the bonds between adjacent clusters became. The local environment for individual clusters was more relevant than the overall number of -CH groups.[⁠3]

A third group, at Tsinghua University, experimented with resists based on zirconium oxide (ZrO2) clusters. These are appealing because they are potentially sensitive to multiple exposure wavelengths, while at the same time having very high etch resistance. For test purposes, the researchers omitted the underlayer and hard mask layer using ZrO2 as the only masking layer. They achieved very good selectivity with HBr plasma at low temperatures. As the substrate gets hotter, though, it forms ZrBr, which sublimes.[4]

Such demonstrations notwithstanding, underlayers are a critical part of the complete pattern transfer system. At a minimum, they provide a uniform surface to promote photoresist adhesion. In EUV lithography, though, photons often pass through the resist and into the underlayer. Secondary electrons generated at the resist/underlayer interface can drive further reactions in the resist. Depending on the specific chemistry involved, the result could be either more complete exposure or stronger bonds in exposed regions.

Metal oxide resist chemistry is completely different from CAR chemistry, and it’s likely that different underlayer chemistry will give the best results. Si Li, scientist team lead at  Brewer Science, and her colleagues investigated a series of spin-on glass underlayers with metal oxide resists. With appropriate modifiers, they were able to facilitate MOR solubility at the interface, ultimately reducing the necessary exposure dose by about 20%.[5] A collaboration between imec and Brewer Science also achieved good results by combining a spin-on primer layer with an SiON hard mask.[⁠6]

Dry resists
While metal-oxide resists have attracted a lot of attention, the industry continues to look for alternatives. One of the more prominent of these is Lam Research’s dry resist process, which takes a completely different approach from conventional wet resist chemistry. Both resist deposition and development take place under vacuum.

While critics note that the dry resist process requires new dedicated tools, the tradeoff is that it allows the fab to adjust the process chemistry in real time. Process engineers can more easily optimize underlayers, the post-exposure bake, and the development chemistry in parallel. Dry development also facilitates post-patterning treatments like ion beam smoothing of resist features.[7] By using electrical tests, as well as optical inspection, the best electrical yield results came from a different underlayer than the one that minimized line edge roughness, according to Lam process engineer Zhengtao Chen and his colleagues, in conjunction with imec.[⁠8]

Alternative concepts emerge
Several alternative resist approaches seek to capture the advantages of both chemically amplified and metal oxide resists. For instance, Bettadapur described the Irresistible Materials concept as a multi-trigger resist. Incident photons generate a photoacid molecule. In exposed areas, it removes ligands from a core small molecule, encouraging crosslinking. In dark areas, it quenches the crosslinking reaction, thereby reducing feature blur.


Fig. 1: EUV resist alternatives. Top: Chemically amplified resist. Incoming photon generates photoacid, which deprotects the polymer backbone. Center: Small molecule resist. Incoming photon removes protective ligands, allowing cross linking. Bottom: Irresistible Materials Multi Trigger Resist (MTR). Contains both photoacid and small molecule components, with dose-dependent quenching or amplifying behavior. Source: Irresistible Materials

Another alternative chemistry, described by Rachel Snyder, program manager for next-generation EUV photoresist development at DuPont, uses photoacid to “unzip” the resist backbone, splitting it into individual monomers. The unzipping reaction can begin at any point in the polymer chain, not just at specific “protective” elements. Monomers can be chosen to optimize solubility in developer.[9]

While the recent SPIE Advanced Lithography and Patterning conference showed that the resist community is dynamic and innovative, it also drove home the immense patterning challenges associated with high-NA EUV exposure. Several solutions appear promising, but a clear winner has yet to emerge.

References

  1. Emad Aqad, et al., “New Insights into High Electron Affinity Photoacid Generators and Their Impact on EUV Chemically Amplified Resist Performance,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-56].
  2. Amrit K. Narasimhan, et al., “Metal oxide resist formulation and process advancements towards high-NA EUV lithography,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-25].
  3. Ching-Yu Huang, et al., “Investigating crosslinking mechanisms in metal oxide photoresists for EUV lithography: a first principles study,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-47].
  4. Mark Neisser, Huaping Xu, Ruihao Zhou, “Lithographic properties of a novel metalloid based resist,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-21].
  5. Si Li, et al., “Development of Underlayers for MOR Sensitivity Improvement,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-96].
  6. Roberto Fallica, et al., “Functional underlayers and primers improving dose and defectivity after lithography and after etching,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-42].
  7. Hyo Seon Suh, et al., “Dry resist patterning readiness towards high-NA EUV lithography,” Proc. SPIE 12498, Advances in Patterning Materials and Processes XL, 1249803 (30 April 2023); https://doi.org/10.1117/12.2661652
  8. Zhengtao Chen, et al., “Dry resist process optimization at the 0.33NA resolution limit and validation via large area e-test inspection,” 2025 SPIE Optical and EUV Nanolithography XXXVIII [13424-13]
  9. Rachel Snyder, et al., “Next-generation EUV photoresists-based on chain-unzipping polymers,” 2025 SPIE Advances in Patterning Materials and Processes XLII [13428-5.

Related Reading
EUV’s Future Looks Even Brighter
Demand for AI chips is growing exponentially, but costs and complexity limit the technology to a handful of companies. That could soon change.
Key Technologies To Extend EUV To 14 Angstroms
Alongside high-NA EUV will be better-performing photoresists, reduced roughness using passivation and etch, and lateral etching to reduce tip-to-tip dimensions.
Precision Patterning Options Emerge For Advanced Packaging
Photolithography is still mainstream, but innovative new solutions are coming.
Single Vs. Multi-Patterning Advancements For EUV
EUV patterning has come a long way in the past five years, but old challenges resurface with high-NA EUV.



Leave a Reply


(Note: This name will be displayed publicly)