Multi-Beam Mask Writers Are A Game Changer

The world of mask making is becoming increasingly curvilinear, but barriers remain.

popularity

The eBeam Initiative’s 11th annual Luminaries survey in 2022 reported strong purchasing predictions for multi-beam mask writers, enabling both EUV and curvilinear photomask growth. A panel of experts debated remaining barriers to curvilinear photomask adoption during an event co-located with the SPIE Photomask Technology Conference in late September. Industry luminaries representing 44 companies from across the semiconductor ecosystem participated in this year’s survey. Aki Fujimura, CEO of D2S, Inc. – the managing company sponsor of the eBeam Initiative, moderated the panel discussion about this year’s survey results. He was joined by Naoya Hayashi, DNP Honorary Fellow; Harry Levinson, Principal Lithographer at HJL Lithography; Ezequiel Russell, senior director of mask technology at Micron Technology; and Noriaki Nakayamada, Senior Technology Expert at NuFlare Technology. In part one of the discussion, panelists elaborated on why EUV masks make a positive contribution to the photomask market growth while citing a number of complications in moving to High-NA EUV. This is the second and final part of the discussion.

Photo left to right: Aki Fujimura, Naoya Hayashi, Ezequiel Russell, Harry Levinson, Noriaki Nakayamada

Fujimura: Turning to mask making, EUV continues to be the top reason for purchasing multi-beam eBeam mask writers in the survey results shown in figure 1. Let’s delve into the reasons why multi-beam writers are practically needed for EUV.

Fig. 1: Luminaries surveyed view EUV precision as the top reason to buy multi-beam mask writers.

Russell: Multi-beam has been an enabler for extending optical proximity correction (OPC) technology. It allows for true curvilinear masks in a reasonable amount of time. But it also has other benefits – pattern registration, pattern placement, it’s just more accurate. Overall, we get better mask quality. I see other mask layers that could benefit from better registration or better mask performance. Those layers will be written by multi-beam for that reason. As a lithographer, I would always choose multi-beam. Multi-beam has been a game changer.

Nakayamada-san: The additional reason to pick multi-beam in the future is inline pixel-level dose correction (PLDC).

Hayashi-san: Multi-beam mask writers are good for mask making and expand the application space. Our purpose for getting a multi-beam mask writer is not only for semiconductor masks, but we’re also producing a nanoimprint template. Also, the curvilinear feature is good for photonics. The application area has expanded with multi-beam mask writers.

Fujimura: Good news for equipment makers of mask writers in figure 2, especially laser writers and multi-beam mask writers, with 93% of Luminaries continuing to predict purchases to increase over the next 3 years. The multi-beam writers are already selling a lot. Is it really going to increase even more in the future? Why is there so much demand for multi-beam machines?

Fig. 2: Luminaries predict growth of new mask writers.

Nakayamada-san: Customers are buying multi-beam writers primarily for EUV masks. If I pick a secondary reason, it’s new mask shops opening around the world who want to buy only multi-beam mask writers to support both high-end and low-end manufacturing. That’s another reason the budget for multi-beam may be increasing.

Fujimura: Multi-beam machines are constant write time, but the number of pixels is low for the low end, so aren’t variable shaped eBeam (VSB) machines faster?

Nakayamada-san: If they already have a VSB machine, then yes. But if they don’t have a VSB machine, they want to print low-end masks with multi-beam. We presented a paper on how to make multi-beam mask writers faster than VSB for the low end.

Fujimura: So, the world has enough supply of multi-beam writers for EUV but what about 193i masks? Ezequiel, you have a multi-beam machine you use for 193i, right?

Russell: Beyond constant write times, multi-beam produces a better mask as far as registration and pattern fidelity. I expect multi-beam to be used for EUV as it’s a must, but critical 193i layers will move to multi-beam as well.

Fujimura: Hayashi-san, what’s the merchant mask maker’s perspective?

Hayashi-san: We’ve been very successful introducing multi-beam mask writers. In addition, you also need to have the know-how for using multi-beam mask writers.

Fujimura: What about laser writers? They’ve been making a big come back lately with Mycronic announcing many orders for new machines.

Levinson: I see a lot of opportunity and demand for laser writers. Clearly, Mycronic is selling them. We process EUV mask blanks using multi-beam writers and then the next thing we do is put them into a laser writer to create the black border. So, even though we have this leading-edge mask, you still need the laser writer to process it. We’ve all read about the expansion in business at the older technology nodes. You can now make 100% of 130nm masks with laser writers because they’re fast and cost effective.

Fujimura: What’s changed then, as 130nm used to require eBeam VSB writers?

Levinson: The laser writers have improved!

Fujimura: Let’s talk about turnaround time. 70% said that EUV TAT in 2024 would be longer than 193i, as shown in figure 3. But we’ve just talked about how the industry is buying up lots of multi-beam eBeam mask writers for EUV. Do you agree EUV TAT will be longer and why?

Fig. 3: Luminaries predict EUV TAT will remain longer than 193i TAT.

Russell: I did say yes, it’s going to increase – unfortunately. There are two factors that play a role here. First, the infrastructure of manipulating the data before it gets to the mask writer. That flow isn’t very efficient today particularly because of the large data volumes with EUV. And if we use curvilinear techniques, the data volume explodes very quickly. Second, with some of the solutions we’re coming up with for OPC, we’re very close to saturating the data path. The density of vertices is already at the limit. So, we must be smart about that or we’ll slow down the multi-beam writer write time.

Hayashi-san: I agree and not only that, with EUV mask making you need a very specific cleaning step and different metrology. For example, EUV masks have to care about both sides of the mask, so you need a dedicated cleaning process. Metrology area needs dedicated reflectivity or phase measurement. Even sometimes the material turnaround time is longer. EUV blank capacity is limited so additional time may be needed to get different types of EUV mask blanks such as for phase-shift masks.

Nakayamada-san: I agree total TAT is longer which is why we are proposing inline mask process correction (MPC).

Fujimura: So leading edge mask shops need multi-beam anyway and that makes curvilinear a non-issue for the writer. “ILT” can be complex OPC that can be written with VSB machines, or it can also be curvilinear ILT that practically requires multi-beam writers. Either way, ILT increases data volume and TAT, but gets better process window for improved wafer yield.

Fig. 4: Luminaries continue to predict ILT to be used on at least a few critical layers.

Fujimura: Looking at the result in figure 4, more than 90% of the Luminaries believe ILT is being used at least on a few critical layers whether it’s for hot spots or using curvilinear ILT or Manhattan ILT.

Levinson: Every chip company has had the experience with a hot spot that results in yield loss, and many companies have repaired the hot spots by using inverse lithography technology (ILT). But the computation time problem has been limiting its application. If you’ve been listening to talks at conferences like this, it seems that the holy grail is full-chip curvilinear ILT with reasonable computation time. I suspect with so many people working on it, that’s not too far into the future.

Fujimura: Nakayamada-san, you see a lot of what the customer wants to print into the future. What are you seeing?

Nakayamada-san: Recently, I see more curvilinear patterns sent by customers asking to improve performance and throughput. One big issue is the figure count increase – it slows down the datapath. If a pixel domain solution can reduce the figure count, then I think we want to look at that path as well.

Fujimura: Hayashi-san, what do you see in the merchant mask shop?

Hayashi-san: Yes, it’s a very consistent trend for ILT. We see increasing demand for ILT with critical mask layers using optical lithography. With EUV, that’s still mostly a captive mask shop area. So as a merchant mask maker, we don’t know about the trend for EUV ILT yet.

Russell: I have no doubt that any leading-edge wafer manufacturer is using ILT to some extent, either for a certain region of the chip or for some layers. So, I’m not surprised by these results.

Fujimura: Past survey results about curvilinear masks are very clear – the Luminaries believe that the world of mask making is becoming increasingly curvilinear. The question this year and last asked about the barriers to curvilinear masks and the ability to make curvilinear masks with those results shown in figure 5. Last year in a similar panel, Chris Progler said we can do it but if we must do a lot of it, we might have trouble. Where do you think we are?

Fig. 5: Luminaries say at least a limited numbers of curvilinear masks can be made.

Hayashi-san: Quality assurance is still the big challenge. We must measure the CDs. Where are the CDs? We must repair a defect, but where and how to fix it? It’s still a challenge with the qualification step, even inspection and repair.

Nakayamada-san: I was glad to see access to multi-beam mask writer is down to #5 barrier! Doesn’t mean everyone has access though.

Levinson: With curvilinear masks, we’ve always had the barrier of making curvilinear with a VSB tool. Then suddenly we get these wonderful multi-beam writers, and everybody says what about all the other problems such as verification and MRC. I think we’re in the phase where the solutions are being brought to maturity. While it’s in the immature phase, it’s like Chris Progler says – it’s hard to do. The maturity is going to come and then I think we’ll see curvilinear being used pretty widely.

Fujimura: Let’s finish on this result about the prospects of the mask market shown in figure 6. 70% of the Luminaries predicted mask revenues would increase again in 2022. 2021 according to SEMI’s annual photomask report came in at $5B, so it’s growing nicely.

Fig. 6: Luminaries predict the mask market will increase again in 2022.

Hayashi-san: No mask, no device! Mask making is the choke point for semiconductors. People are still focusing on new fabs but mask making is key. I’m quite confident the mask market will grow in the future.

Levinson: We’re going through a time of growth for our industry and it’s happening across the board. EUV opened new vistas. We have new markets that didn’t exist a few years ago largely enabled by EUV. At the same time, there are a lot of applications that work better with larger feature sizes. So, we’ve got this huge growth in the older nodes as well. I think it’s going to be sustained for quite some time largely dependent on how the overall economy does.

Nakayamada-san: We survived COVID and a big technology transition. We look forward to continuing to contribute to the industry!

Russell: The semiconductor industry overall is healthy and growing, with a long-term outlook that’s very bright. The mask business goes hand in hand so if the semiconductor business does well, the mask business does well. It’s a great opportunity for us as a community to capitalize on the inflection points discussed here and take advantage of the future.

Fujimura: We tend to think about technology but money matters. It allows us to do new things and explore instead of having to choose between this or that. It’s a really great time to be in this industry!



Leave a Reply


(Note: This name will be displayed publicly)