Increasing complexity of semiconductor devices necessitates a fundamental rethinking of defect detection methodologies.
Detecting sub-5nm defects creates huge challenges for chipmakers, challenges that have a direct impact on yield, reliability, and profitability.
In addition to being smaller and harder to detect, defects are often hidden beneath intricate device structures and packaging schemes. Moreover, traditional optical and electrical probing methods, trusted for decades, are proving inadequate against the complexity of modern chip architectures.
“The number of high precision parametric measurements we can pull out of a single advanced AI processor is immense — tens of thousands of data points from just one package,” says Jack Lewis, CTO at Modus Test. “Multiply that across multiple packages, across test vehicle lots, and now you have millions of samples across every die layer and interconnect. That’s the kind of density you need to find those elusive, one-in-a-million defects and provide the design and defect detection feedback early in the process development, long before they show up during production ramps.”
Compounding these difficulties are new technologies like hybrid bonding, chiplets, and backside power delivery, each introducing entirely new classes of defects. Hybrid bonding, for example, requires atomic-level precision because minute alignment errors or contaminants can lead directly to functional failures. Similarly, backside power delivery schemes obscure critical structures beneath metal layers, removing the line-of-sight access needed for conventional inspection techniques.
“Customer education is becoming increasingly critical at advanced nodes,” said John Hoffman, director of R&D at Nordson Test & Inspection. “Small process adjustments — like changing a surface finish — might seem minor to process engineers but can dramatically impact the accuracy of optical inspections. As features shrink, even subtle changes become significant, and both equipment makers and fabs need to closely coordinate to avoid unexpected yield issues.”
As a result, the semiconductor industry is transitioning toward innovative, data-intensive inspection methods capable of visualizing these buried defects. Advanced electron-beam (e-beam) inspection, enhanced X-ray tomography, and artificial intelligence (AI)-driven analytics are becoming essential for defect detection at these extreme nodes.
Shrinking features and buried defects
The evolution of transistor architectures, such as gate-all-around (GAA) FETs and CFETs, as well as new packaging architectures such as 3D-ICs, significantly increases the difficulty of finding defects. Many of these defects are hidden deep within multi-layered stacks or beneath complex interconnect schemes. That makes detection, as well as defect characterization, significantly more difficult, as traditional electrical tests and visual inspections struggle to penetrate and interpret deeply embedded flaws.
This has increased adoption of X-ray metrology for detecting buried defects, given its inherent ability to visualize internal structures non-destructively. However, even X-ray techniques face limitations in resolution and throughput as they approach sub-5nm scales.
“X-ray inspection is critical at advanced nodes because it can detect buried defects that optical methods cannot,” said Lior Levin, director of product marketing at Bruker. “Yet simply improving resolution isn’t sufficient as we move below 5nm. AI-driven algorithms are essential to process complex diffraction data and significantly enhance detection accuracy.”
At these scales, seemingly insignificant defects — atomic-scale voids in hybrid bonding interfaces or subtle line-edge roughness in nano-sized interconnects — can significantly degrade device performance. Traditional metrology tools, optimized for larger geometries, often overlook these minuscule yet crucial variations. Thus, the situation demands not just incremental improvements but entirely new inspection methodologies.
“Interferometry still dominates wafer metrology, but it has limitations, especially as packaging technologies evolve,” said Javier Elizalde, COO of Wooptix. “We’re now seeing a growing need for alternative measurement approaches that can adapt to new materials, new bonding methods, and new process flows.”
To address these limitations, companies are combining high-resolution imaging with AI-driven data interpretation. For example, e-beam inspection is seeing major enhancements, particularly through developments in cold field emission (CFE) sources, significantly boosting both resolution and inspection speed.
“One fundamental challenge at advanced nodes is balancing inspection speed and resolution,” said Ran Alkoken, head of marketing for e-beam defect control at Applied Materials. “Our second-generation CFE technology significantly increases current without sacrificing resolution. That’s critical for managing dense defect maps encountered at these advanced nodes.”
AI-driven analytics also play a crucial role in improving defect classification. By training models on extensive datasets incorporating both simulated and real-world design data, these algorithms substantially reduce false positives, streamline inspection workflows, and enhance defect detection accuracy.
“AI-based detection doesn’t just increase throughput,” said Alkoken. “It significantly reduces false alarms and simplifies defect binning. In production fabs, manual review workloads have decreased by as much as 50% due to this capability.”
These advancements highlight a broader trend toward integrated defect detection strategies, leveraging multiple modalities like X-ray, e-beam, and AI to ensure effective defect detection at advanced semiconductor nodes.
“A lot of teams are still using daisy chains and continuity tests that miss subtle failures,” said Lewis. “It’s an old school mindset. They’re measuring something that will only detect gross package defects hoping to detect subtle package process problems. The process outliers are not measurable with these traditional methods — and that can kill your initial yield in a high-volume ramp until the process problems are detected and corrected.”
AI is transforming defect detection
As defect detection moves beyond the reach of traditional methods, AI and machine learning (ML) have become essential in semiconductor manufacturing. The vast datasets generated by advanced nodes and high-density packaging overwhelm conventional data analysis methods, requiring intelligent and adaptive solutions. With transistor densities increasing, subtle defect signatures often blend with normal process variation or background noise. ML’s capacity to discern meaningful patterns within massive data volumes is now central to maintaining yield below 5nm.
“Below 5nm, structural complexity significantly complicates defect detection,” said Levin. “Without AI algorithms, traditional X-ray tomography quickly hits limitations. AI helps extract meaningful signals from dense diffraction data, accurately identifying subtle defects that conventional methods might overlook.”
AI has significantly improved the reliability of defect classification, effectively separating benign features from critical defects and greatly enhancing yield management. This is particularly vital as semiconductor manufacturing moves toward more intricate structures, such as chiplet-based architectures and stacked transistors, where buried defects frequently occur and are challenging to isolate.
“AI dramatically improves defect classification efficiency and accuracy, enabling faster and more reliable wafer inspection,” said Alkoken. “This not only boosts throughput, but reduces manual review significantly, freeing engineers to address critical yield-impacting issues rather than routine sorting.”
Advanced packaging is the new defect frontier
Advanced packaging technologies, including hybrid bonding, 3D stacking, and fan-out wafer-level packaging (FOWLP), are significantly changing semiconductor manufacturing. While these approaches offer crucial performance advantages, they introduce complex new defect mechanisms that traditional inspection methods struggle to address effectively.
The industry’s shift toward heterogeneous integration and ultra-fine interconnect pitches has amplified the challenge of detecting defects deep within multilayered structures. As adoption of advanced packaging grows, accurate identification and characterization of defects at critical interfaces, through-silicon vias (TSVs), and redistribution layers (RDLs) has become essential to ensuring device reliability.
Hybrid bonding epitomizes these challenges. The process, essential for achieving ultra-high-density die integration, requires nearly perfect surface flatness and atomic-level precision. Even minor variations in topography, or slight contamination at the bonding interface, can result in defective bonds or degraded electrical performance. Consequently, there is a heightened need for specialized inspection technologies that can accurately measure wafer flatness and detect microscopic variations before final integration.
Wavefront Phase Imaging (WFPI) from Wooptix, for example, derived from adaptive optics used in astronomy, captures intensity data from two distinct focal planes using standard image sensors. Unlike interferometry, which requires a reference beam, WFPI infers phase information directly from these intensity variations. This allows the system to reconstruct detailed phase maps of the surface topology with sub-nanometer vertical resolution and micron-level lateral resolution, making it effective for inspecting both front-end process wafers and advanced packaging on the back end.
Fig. 1: WFPI enables full-field imaging of a 300mm wafer in a single 0.1 second shot, collecting tens of millions of measurement points. Source: Wooptix
“Ensuring wafer flatness is absolutely critical for successful hybrid bonding,” said Elizalde. “Traditional interferometric methods simply aren’t sufficient at the precision levels required for advanced packaging. Our wavefront sensing technique provides real-time flatness measurement at sub-nanometer precision, enabling manufacturers to correct variations early and avoid costly defects later in the process.”
Additionally, the integrity of TSVs and RDLs — key elements in various 3D configurations and FOWLP structures — has emerged as a major defect management challenge. Electrical tests traditionally used for monolithic devices frequently fall short in identifying defects in these buried structures. Optical inspection also struggles due to limited visibility beneath opaque material layers, pushing the industry toward advanced X-ray tomography and e-beam microscopy solutions capable of visualizing internal package structures nondestructively.
Bruker’s recent enhancements in X-ray tomography illustrate how toolmakers are tackling these challenges. The company’s high-resolution X-ray systems are now specifically tuned to detect subtle defects, such as micro-voids in TSVs and slight irregularities within RDLs, which are crucial to maintaining structural and functional integrity in multi-die packages.
“In the back end, there is a push to characterize lighter elements,” said Bruker’s Levin. “Issues like micro-voids in critical interconnects carry enormous implications for overall device performance. High-resolution, AI-enhanced X-ray tomography has become indispensable for accurately identifying these internal anomalies, enabling manufacturers to improve yields and enhance process control.”
Advanced packaging introduces structural defects as well as new categories of functional defects related to signal integrity, impedance mismatches, and electromagnetic interference (EMI). As package densities rise, even minute structural defects can cause significant functional issues undetectable by conventional physical inspection alone. As a result, comprehensive defect detection increasingly requires integrating structural inspection, electrical analysis, and advanced simulation tools to fully assess package reliability.
“In advanced front-end process nodes, as well as in advanced packaging, defects aren’t always visible, even under the highest-resolution microscopes,” said Michael Yu, vice president of field resources at PDF Solutions. “Integrated inspection methods combining X-ray, e-beam, optical, and electrical tests with AI-driven data analytics are now essential. You can’t rely on a single tool; a holistic approach is necessary.”
Overcoming industry barriers to effective defect detection
While technological advances in AI-enhanced analytics, e-beam inspection, and X-ray tomography are significant, multiple practical barriers still impede widespread adoption across the semiconductor manufacturing ecosystem. Challenges around scalability, standardization, and data integration remain significant hurdles to transitioning from reactive defect detection methods toward proactive and predictive strategies.
In addition, scalability represents a critical barrier, particularly for smaller fabs and foundries. While leading-edge manufacturers make significant investments in state-of-the-art inspection solutions, smaller or specialized fabs frequently find these tools economically inaccessible. This economic gap limits broader industry-wide adoption and risks creating an uneven playing field, where smaller fabs struggle to compete effectively at advanced nodes.
Standardization poses another major obstacle. With the proliferation of new semiconductor structures ranging from chiplets to pre-integrated multi-die modules, some incorporating exotic materials, the variety of unique inspection challenges has multiplied. This diversity makes standardizing inspection methods particularly challenging, potentially resulting in fragmented defect management approaches.
Finally, the amount of data generated by chip manufacturing places heavy demands on analytics capabilities. Robust integration platforms are essential to capture, analyze, and securely share data across production stages. And while AI-driven methods offer significant promise, their success hinges upon seamless data communication and comprehensive analytics infrastructure.
“The complexity of modern devices means effective defect detection requires integrating data from multiple sources, such as inspection tools, test results, and design information,” said PDF’s Yu. “Without a secure and efficient infrastructure to manage this data, even the best inspection methodologies cannot achieve their full potential.”
Addressing these challenges requires coordinated industry efforts. Equipment providers, fabs, and standard-setting bodies must collaborate closely, developing common frameworks and scalable solutions that enable effective defect detection across all sectors. Only by resolving these structural and collaborative barriers will the industry fully leverage emerging defect detection technologies and keep pace with increasing device complexity.
Real-time analytics and lifecycle integration
As semiconductor technologies advance into deep sub-5nm territory, simply detecting defects after they occur is no longer sufficient. Real-time analytics and integrated lifecycle defect management are becoming critical strategies to proactively mitigate yield and reliability issues before they propagate downstream.
Real-time analytics represents a significant shift from traditional end-of-line defect inspection methods. By embedding sensors and advanced monitoring systems directly into production equipment, fabs can detect and respond to defects as they occur, rather than relying on post-production analysis. The integration of AI-driven algorithms with these sensors allows immediate detection and classification of subtle process drifts, enabling swift corrections to manufacturing parameters that help minimize yield losses.
“Historically, defect detection was largely retrospective,” said Yu. “By the time defects were discovered, wafers had already moved downstream, making corrective actions costly. Now, by embedding predictive analytics and in-line sensors, we’re shifting toward real-time defect identification and prevention. This dramatically reduces the costs associated with late-stage defect discovery.”
The benefits of real-time analytics extend beyond immediate yield improvements. Real-time monitoring and proactive defect prevention enable semiconductor manufacturers to build highly detailed, data-rich profiles for each wafer, package, and device. These profiles, combined with AI-driven predictive modeling, support more precise process adjustments, improving overall device performance, reliability, and lifetime value.
“The second-generation cold field emission platform provides the resolution and current needed to support fast defect review, but it’s the AI-based detection that really enables clear defect classification,” said Neta Shomrat, product marketing lead at Applied Materials. “By eliminating the need for reference image acquisition and reducing ambiguity in dense defect maps, we can achieve high accuracy with significantly higher throughput.”
Lifecycle integration requires a fundamental shift in industry mindset, particularly in embracing tighter collaboration between design, manufacturing, and test teams. Digital twins, design-aware defect modeling, and early defect risk assessments are becoming crucial tools. These methods identify potential defect mechanisms at the design stage, allowing engineers to optimize manufacturing processes and prevent issues before production even begins.
Conclusion
As semiconductor manufacturing journeys deeper into sub-5nm territory, defect detection has rapidly evolved from a straightforward manufacturing step into a strategic capability essential for maintaining yield, reliability, and competitive advantage. Traditional inspection methods are quickly reaching their limits, requiring manufacturers and equipment providers to innovate aggressively. The integration of advanced e-beam inspection, enhanced X-ray tomography, wavefront phase imaging, and AI-driven analytics represents a significant step going forward. However, realizing the full potential of these technologies depends critically on addressing scalability challenges, standardization gaps, and data integration complexities.
“Advanced nodes and packaging technologies have changed the defect landscape dramatically,” said Wooptix’s Elizande. “Success now depends on integrated solutions that combine multiple inspection methodologies, predictive analytics, and proactive lifecycle management. Manufacturers that successfully integrate these capabilities will gain a significant advantage in both yield and reliability.”
Related Reading
Metrology Advances Step Up To Sub-2nm Device Node Needs
Fab processes that enable stacked transistors, hybrid bonding, and advanced packaging are driving the need for more and better measurements.
Advanced Packaging Drives Test And Metrology Innovations
Complex devices are pushing test and metrology tools to their limits, but solutions are coming online.
Leave a Reply