Reflections On Photomask Japan 2023: Embracing The Era Of Curvilinear Masks

The entire mask industry needs to prepare for the new ecosystem surrounding curvilinear data handling and metrology.

popularity

In April, 2023, I had the privilege of participating in Photomask Japan 2023 (PMJ2023), a web conference that brought together experts and enthusiasts in the field. The conference commenced with an enlightening keynote talk by Dr. Kurt Ronse of imec on the status and challenges of the high NA EUV ecosystem, presenting roadmaps for the introduction of high NA EUV. I would like to express my gratitude to the committee members for affording me the opportunity to deliver a keynote talk on “Holistic Approaches Toward High NA EUV Lithography.” Through fruitful collaborations, significant progress has been made in the realms of mask and wafer processing, paving the way for future advancements in patterning. PMJ2023 featured a multitude of captivating talks that showcased the remarkable strides achieved in both industry and academia, providing me with a delightful and enriching experience.

Panel discussion: “Curvilinear Masks – What Should We Do for High Volume Manufacturing?”

One session that particularly stood out during PMJ2023 was the Panel Discussion on curvilinear masks. Moderated by Kokoro Kato-san (PMJ2023 Steering Committee Chair, Synopsys, Inc.) and Hiroshi Nakata-san (PMJ2023 Program Committee Vice Chair, Dai Nippon Printing Co., Ltd. (DNP)), the panel delved into various aspects of curvilinear masks. Kato-san initiated the discussion by emphasizing the need for the entire mask industry to prepare for the new ecosystem surrounding curvilinear data handling, manufacturing, and inspection/metrology. He shared insights from the eBeam Initiative Survey (see Figure 1), revealing that 76% of respondents expressed confidence and optimism regarding the utilization of curvilinear masks (see Figure 2).


Fig. 1: Confidence in curvilinear mask making remains high, with 76% saying leading-edge mask shops can handle at least a limited number.


Fig. 2: Curvilinear shapes on mask.

Masakazu Hamaji-san of Nippon Control System Corporation (NCS) shed light on curvilinear data handling, focusing on efforts to reduce data volume for OPC tools. He emphasized the advantages of utilizing Bezier curves directly for high lithographic performance, as Bezier curves enable a reduction in segment count, leading to improved runtime.

Jin Choi-san of Samsung Electronics Co., Ltd. highlighted the challenges posed by increasing data volumes resulting from the adoption of curvilinear masks. Prior to EUV lithography, data volume growth was contained by splitting masks into multiple units during the era of multi-patterning with ArF immersion lithography. However, with the introduction of EUV, the data volume has surged. Choi-san also emphasized that the curvilinear masks generated by inverse lithography technology (ILT) further exacerbate the data volume explosion. Conventional GDS or OASIS data formats are ill-suited for handling curvilinear designs. Samsung, in collaboration with other key industry players, proposed and developed a new data format for EUV masks (SEMI-OASIS-Curvilinear), which was approved as a SEMI Standard in 2023. Choi-san also advocated for a common data format that enables seamless data exchange between OPC, mask writers, CD-SEMs, registration measurement tools, and inspection tools, fostering collaboration and synergy among stakeholders.

Shingo Yoshikawa-san of DNP raised concerns related to high-volume manufacturing of curvilinear masks. He highlighted the challenges in metrology (contour-based metrology requirements), inspection (high-resolution inspection requirements), and cost considerations (tools and EDA software for curvilinear masks). Yoshikawa-san showcased exquisite curvilinear mask structures created using multibeam mask writers and demonstrated contour-based metrology for measurement. He emphasized the need for defining rules for metrology based on contour and proposed the development of automatic analysis tools. In terms of inspection tools, Yoshikawa-san also addressed concerns regarding tool resolution, sensitivity settings, database calibration, inspection time, and tool prices. He emphasized the importance of establishing close relationships between mask shops and mask users to facilitate the comparison of mask and wafer metrology/inspection data.

Noriaki Nakayamada-san of NuFlare Technology, Inc. focused on the intersection of multibeam mask writing (MBMW), curvilinear masks, and inspection technology. As a supplier of both mask writers and inspection tools, NuFlare plays a unique role in the industry. Nakayamada-san highlighted two major concerns: total turn-around-time and mask pattern quality assurance. To address these challenges, NuFlare collaborated with D2S on pixel-level dose correction (PLDC), which enables writing and mask process correction to be performed simultaneously, reducing the overall turn-around-time. Additionally, Nakayamada-san mentioned the cuLitho framework, an intriguing approach involving collaboration between NVIDIA, TSMC, ASML, and Synopsys, to provide a wafer litho modeling library that includes mask modeling or skips it altogether.

Toshimichi Iwai-san of Advantest Corporation discussed metrology, specifically mask CDSEM (critical dimension scanning electron microscopy), for curvilinear masks. Due to the complexity of curvilinear lithography masks, which encompass ArFi and EUV technologies, multi-point measurements and edge placement error assessments are essential. Iwai-san highlighted Advantest’s comprehensive patterning fidelity analysis tool, which utilizes edge placement error vector measurements and offers design-based metrology (DBM) with large field of view (LFOV) SEM contour measurement capabilities. Advantest’s innovative scanning technology enables clear edge shape visualization with shadow-free SEM images.

Aki Fujimura-san of D2S posed an intriguing question during the discussion, challenging the notion that manufacturability is more reliable for manufacturable curvilinear mask targets compared to 90-degree corners. Yoshikawa-san of DNP presented data emphasizing that 90-degree corners are the most challenging patterns to manufacture, while curvilinear patterns are comparatively easier but more difficult to guarantee and assess. Nakayamada-san agreed that curvilinear patterns are generally more manufacturable than Manhattan patterns. Choi-san expressed concerns about evaluating pattern accuracy for curvilinear masks and on the other hand highlighted the potential for improved process margins with the adoption of curvilinear masks.

In the concluding remarks, Nakata-san of DNP emphasized that curvilinear masks are increasingly being utilized in real production scenarios. He underscored the need for the entire mask industry to ensure that all components of the ecosystem are prepared for curvilinear mask manufacturing.

Conclusion

The panel discussion on curvilinear masks at PMJ2023 shed light on the significance of collaboration among tool vendors, EDA companies, mask shops, and mask users in shaping the future of curvilinear masks. The session highlighted the challenges associated with data handling, metrology, inspection, and manufacturing of curvilinear masks. The industry’s response, including the development of new data formats, collaborative task forces, and innovative technologies, reflects a concerted effort to address these challenges. As curvilinear masks become increasingly integral to advanced lithography, it is crucial for stakeholders to work together to optimize their implementation and unlock their full potential.

With each passing conference, it becomes evident that curvilinear masks are no longer confined to theoretical discussions but are rapidly gaining ground in real-world production environments. PMJ2023 provided a platform for valuable exchanges of ideas, fostering collaboration and driving progress in curvilinear mask manufacturing. As we navigate the exciting era of curvilinear masks, the commitment to collaboration and innovation will undoubtedly shape the future of the semiconductor industry.

The panel discussion at PMJ2023 served as a reminder of the collaborative nature of the semiconductor industry. It reinforced the need for tool vendors, EDA companies, mask shops, and mask users to work hand in hand, exchanging knowledge and expertise to overcome obstacles and achieve success in curvilinear mask manufacturing. The challenges discussed, such as data volume explosion, metrology complexities, and inspection requirements, require a collective effort to find innovative solutions.

[TEL is an e-Beam Initiative member]



Leave a Reply


(Note: This name will be displayed publicly)