The Week In Review: Manufacturing

Samsung’s 3D NAND, 32-TB SSD and Z-SSD; Lam’s ALD process; Lam-KLA update; DRAM woes.

popularity

Chipmakers
At this week’s Flash Memory Summit, Samsung rolled out several new products, including its next-generation 3D NAND device and a solid-state drive (SSD) with capacities up to 32 terabytes.

At the same time, Samsung introduced a new and high-performance SSD solution, dubbed the Z-SSD. Samsung’s Z-SSD shares the fundamental structure of V-NAND and has a unique circuit design and controller that can maximize performance. “It’s based on NAND,” said Ryan Smith, director of NAND product marketing at Samsung Semiconductor.

Z-SSD is designed to compete against 3D XPoint, a next-generation memory technology from Intel and Micron. Z-SSD will be used in systems that deal with intensive real-time analysis as well as extending high performance to all types of workloads, according to Samsung.

Meanwhile, as part of the roll out, Samsung introduced a new 64-layer triple-level-cell V-NAND flash memory device. Stacking 64 layers of cell-arrays, the new V-NAND has a density of 512-Gb at I/O speeds of 800-Mbps.

And not to be outdone, Samsung’s latest SAS-based SSD consists of 512-Gb V-NAND chips. A total of 512 V-NAND chips are stacked in 16 layers to form a 1-TB package. A 32-TB SSD contains 32 of those packages. The 32-TB SAS SSD will come in a 2-5-inch form factor and will come out in 2017.

—————————————–

Micron Technology rolled out the company’s first 3D NAND memory technology optimized for mobile devices and its first products based on the Universal Flash Storage (UFS) 2.1 standard.

Intel announced plans to acquire Nervana, a developer of AI and machine learning technology. Nervana intends to continue all existing development efforts, including its deep learning technology.

Cypress Semiconductor announced that Hassane El-Khoury has been named its president, chief executive, and a member of its board of directors, and that Ray Bingham’s current role as chairman of the board has been expanded to executive chairman, providing him with a day-to-day role in support of the CEO focused externally on customers and investment opportunities.

Fab tool makers
Lam Research and KLA-Tencor provided an update on their planned merger. As reported, the deal has been delayed due to regulatory issues. Lam and KLA-Tencor said that they are working diligently to receive the necessary regulatory approvals. “However, it has become more likely that obtaining regulatory clearances in one or more of the remaining jurisdictions may extend beyond October 20, 2016, the outside date set forth in the merger agreement,” according to Lam.

——————————

In a separate move, Lam Research rolled out a new atomic layer deposition (ALD) process for use in extending 3D NAND and DRAM technologies.

The new process, called the Altus Max E Series, enables ALD-based low-fluorine-content tungsten films and fills. The deposition process itself takes place within Lam’s Altus line of deposition tools.

The new technology is a key enabler for 3D NAND and DRAM. In 3D NAND, for example, the industry is using chemical vapor deposition (CVD) tools for tungsten deposition in the critical word line fill application.

For this application, CVD works for 3D NAND up to a certain point. Then, several issues crop up as 3D NAND vendors attempt to move beyond 48 layers. First, fluorine diffusion using CVD from the tungsten film into the dielectrics may cause physical defects, according to Lam. Second, the device may result in excessive bowing due to stress.

“As the stack gets taller, the resistivity becomes more important,” said Harmeet Singh, vice president of dielectric etch products at Lam Research. “Low-fluorine-content is critical for ‘z’ scaling.”

All told, tungsten films for advanced 3D NAND devices must have reduced fluorine and intrinsic stress. This, in turn, prompts the need for a new deposition technology like ALD. “Essentially, in CVD, what you are doing is growing films in 3D. So growing at 3D intrinsically has higher stress,” said Kaihan Ashtiani, vice president and general manager for the Metal Deposition group at Lam Research. “By growing things in ALD mode, you are growing in 2D. Therefore, the intrinsic stress of the film comes down.”

Lam’s new ALD-based low-fluorine-content tungsten process enables the required tungsten fill. It makes use of a controlled surface reaction technology. This, in turn, tunes the stress and fluorine levels to lower resistance.

When compared to CVD, the Altus Max E Series lowers fluorine content by up to 100x, lowers stress by up to 10x, and reduces resistivity by over 30%. The Altus Max E Series is an ALD process based on Lam’s PNL (Pulsed Nucleation Layer) technology.

Market research
It’s a bad year for DRAM. “A DRAM ASP decline of 16% coupled with a forecast 3% decline in DRAM unit shipments is expected to result in the DRAM market declining 19% in 2016,” according to IC Insights.

Regarding the Flash Memory Summit, Weston Twigg, an analyst with Pacific Crest Securities, said: “In our conversations with NAND producers at the conference, it was evident that, despite big product announcements by competitors, Samsung still maintains a substantial lead in 3D NAND. Samsung is quickly moving customers to its 48-layer product, and it should achieve 64-layer production by this time next year. The race to catch Samsung is on, which means that competitors are aggressively applying resources to ramp capacity and improve yields (which are still low, in our view). This is a likely negative for MU and WDC as they are pressured to ramp new capacity quickly.”



Leave a Reply


(Note: This name will be displayed publicly)