The Week In Review: Manufacturing

Microsemi for sale?; RF SOI; UMC, Lam, KLA results; MOCVD wars.

popularity

Chipmakers
The IC industry continues to consolidate. For example, Qualcomm has a proposed plan to buy NXP. And then, Broadcom wants to buy Qualcomm. Who is next? In a research note, RBC Capital Markets analyst Mitch Steves said: “According to Bloomberg, Microsemi is exploring a sale and we think logical acquirers could include Skyworks. We continue to view Microsemi as a notable strategic asset and believe there is credibility in the reports assuming the takeout valuation is north of $70 per share.”

United Microelectronics Corp. (UMC) posted its results for the quarter. “During the quarter, our capacity utilization from legacy 8- and 12-inch technologies continued to reflect robust demand, despite a decrease in 28nm HKMG contribution. The utilization rate of 90% led to overall wafer shipments of 1.67 million 8-inch equivalents,” said Jason Wang, co-president of UMC. “Looking into the first quarter of 2018, we anticipate our foundry business to remain relatively flat. We are continuing our efforts to capture new 28nm business by working to secure new design opportunities, which will help rebuild our 28nm momentum as these new product tape outs are expected to enter production in the following months. In addition, we will leverage our manufacturing excellence to invest in areas with better ROI potential, including 12-inch mature technologies as well as tool and equipment upgrades at 8-inch facilities. As such, our 2018 CapEx budget will be approximately $1.1 billion.”

GlobalFoundries’ 45nm RF SOI technology platform has been qualified and is ready for production. Customers are engaged for this RF SOI process, which is targeted for 5G millimeter-wave (mmWave) front-end modules, including smartphones and next-generation mmWave beamforming systems in future base stations.

Samsung Electronics has rolled out the Samsung Advanced Foundry Ecosystem (SAFE) program. The program ensures collaboration between Samsung Foundry, ecosystem partners, and customers to deliver IC designs based on certified key design components, including process design kits (PDK), reference flows, intellectual property (IP), and ASIC design support.

Fab tools
For the December quarter, Lam Research reported revenue of $2.581 billion. This compares to revenue of $2.478 billion for the quarter ended Sept. 24, 2017. Separately, Lam has named Tim Archer as president. Archer will continue to serve as chief operating officer of the company, a position he has held since 2012. Martin Anstice, Lam’s chief executive and current president, will continue as CEO.

KLA-Tencor announced its operating results for its second quarter of fiscal year 2018. “KLA-Tencor reported a record quarter in December 2017, delivering new quarterly highs in shipments, revenues, gross margin, and non-GAAP earnings per diluted share in the period. Full year results in calendar 2017 also set records for each of these metrics, as well as in free cash flow generation,” commented Rick Wallace, president and chief executive of KLA-Tencor.

Applied Materials has announced the appointment of Scott McGregor as a board member. McGregor served as president and chief executive of Broadcom from 2005 until the company was acquired by Avago in 2016. McGregor currently serves as a member of the board of Equifax.

Entegris has acquired Particle Sizing Systems, a company focused on particle sizing instrumentation for liquid applications in the semiconductor and life science industries.

Toppan Photomasks is investing in its photomask subsidiary in China, called Toppan Photomasks Co. Ltd. Shanghai (TPCS). TPCS plans to add equipment in April of 2018 with initial production starting for 65nm/55nm photomasks. Fabrication of 28nm and 14nm photomasks are scheduled to begin production during the first half of 2019.

————————————

There is a MOCVD battle brewing between Veeco and China’s AMEC in the market. In December, Advanced Micro-Fabrication Equipment (AMEC) announced that the Fujian High Court in China has granted AMEC’s motion for an injunction against U.S.-based Veeco. The injunction prohibits Veeco from importing, manufacturing, selling or offering for sale to any third party any MOCVD systems and wafer carriers used in the MOCVD systems that would infringe AMEC’s patent CN 202492576 in China. The injunction covers Veeco’s TurboDisk EPIK 700 system, EPIK 700 C2 system, and EPIK 700 C4 system, as well as the related wafer carriers used in the MOCVD systems.

“A provincial high court in China ruled that Veeco must stop making and selling its EPIK 700 model in China, based on alleged infringements of patents held by AMEC, a competitor to Veeco in China,” according to a report from KeyBanc Capital Markets in December. “We do not view this announcement as materially impacting our estimates because Veeco is now primarily selling its next-generation MOCVD tool, the EPIK 868, in China

Then, on Jan. 12, Chinese customs temporarily detained two EPIK700 MOCVD tools upon their arrival in China. The tools, shipped by Veeco Asia, are suspected of infringing AMEC’s patent (CN 202492576). The detention was consistent with Chinese law. AMEC is contemplating further legal action, which may include filing a patent infringement lawsuit with the Chinese court.

This week, AMEC announced that the Patent Re-examination Board (PRB) of the State Intellectual Property Office (SIPO) in China, ruled on Jan. 23 that all patent claims relating to patent number ZL 01822507.1 held by Veeco and titled “Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition” are invalid.

Packaging and test
Siliconware Precision Industries (SPIL) has issued a letter to shareholders. The letter outlines SPIL’s proposed merger with Advanced Semiconductor Engineering (ASE). It also wants shareholders to approve the deal in a meeting scheduled for Feb. 12. Separately, SPIL posted its results for the quarter.

National Instruments (NI) has announced a new release of LabVIEW NXG, the next-generation of LabVIEW engineering system design software. With LabVIEW NXG, engineers can quickly set up instruments, customize tests, and view results from a web browser on any device.

Advantest has been recognized as a 2018 Top 100 Global Technology Leader by Thomson Reuters. In addition, ASM Pacific Technology has also been recognized as one of the Top 100 Global Technology Leaders by Thomson Reuters in its inaugural program and is the only company established in Hong Kong.

Market research
North America-based manufacturers of semiconductor equipment posted $2.39 billion in billings worldwide in December, according to SEMI. The billings figure is 16.3% higher than the final November 2017 level of $2.05 billion, and is 27.7% higher than the December 2016 billings level of $1.87 billion. “December 2017 monthly billings for North American equipment manufacturers ended the year at the highest levels in this record-breaking year,” said Ajit Manocha, president and CEO of SEMI. “For 2017, total billings for North American equipment companies soared over 40 percent compared to 2016.”

Markets for critical metals are becoming turbulent, creating shortages and widespread supply chain concerns. Critical metals are the raw elements and materials used in the production of aerospace/defense systems, automobiles, batteries, computers and electronic products. Many critical metals also are scarce, and there is high risk associated with their supply. In a recent report, the European Union (EU) lists 27 different raw metals/materials that are considered critical for systems and devices, including cobalt, gallium, germanium, graphite, hafnium, tantalum, tungsten and various rare earths.



Leave a Reply


(Note: This name will be displayed publicly)