Week In Review: Design, Low Power

Renesas buys NFC tech; EU adds more semi support; IBM plans quantum data center; Rockley leaves Chapter 11; backside power delivery.

popularity

Renesas Electronics completed its acquisition of Panthronics, a fabless company specializing in near-field communication (NFC) wireless products. Renesas has already incorporated Panthronics NFC technology into several solution reference designs for applications such as payment, IoT, asset tracking, and smart meters.

The European Commission announced new funding for the semiconductor and microelectronics supply chain, comprised of €8.1 billion (~$8.7B) of state aid and €13.7 billion (~$14.7B) of additional private investment. This €21.8 billion (~23.4B), which comes on top of the €43 billion (~$47B) EU Chips Act, will support an ‘Important Project of Common European Interest’ (IPCEI) made up of 68 projects from 56 companies (including SMEs) in 20 countries. Alongside materials, manufacturing, and packaging technologies, the funds will support design and design automation tools for dedicated processors, AI chips, FPGAs, embedded memory, chiplets, and optical interconnects. Projects will particularly focus on applications for the communications, automotive, industrial automation, and consumer IoT sectors as well as AI, edge computing, and other markets.

Failure analysis is shifting left. While design has been playing an essential role in failure analysis for some time, the emphasis on avoiding potential problems starting with initial design has been increasing, and so has the focus on catching potential problems from initial layout all the way through manufacturing — a problem made increasingly difficult by the fact that there are more processes for each foundry, and widening differences between similar processes across different foundries.

Intel implemented its backside power delivery technology on a product-like test chip. The PowerVia technology will be introduced on the Intel 20A process node in the first half of 2024. The company is also exploring ways to enable both signaling and power delivery on either the front or the back side of the wafer.

Rockley Photonics completed a comprehensive financial restructuring and emerged from Chapter 11 after filing for bankruptcy protection in Q1. It also received approximately $35 million of additional funding from its stakeholders. The company will focus on photonics-based sensing platforms specifically designed for health monitoring.

Marquee Semiconductor, a provider of design services that specializes in connectivity solutions for SoC, chiplets, and FPGAs, acquired Semikunn Technology Services, a design services company.

Tools, IP, products

Hardware/software co-design has been a goal for several decades, but success has been limited. More recently, progress has been made in optimizing a processor as well as the addition of accelerators for a given software workload. While those two techniques can produce incredible gains, it is not enough.

Agile Analog debuted a complete analog IP subsystem for RISC-V based IoT applications, including a power management unit, a sleep management unit, and data converters.

Axiomise launched the latest version of its formal app for architectural and micro-architectural verification of RISC-V processor cores.

Kalray announced a new version of its DPU processor optimized for AI and data-intensive storage workloads.

The security requirements for semiconductor devices are growing, but protecting devices does not come for free. How much protection is needed depends upon such factors as the value of the system and data, and the vertical market segment. That can make it difficult when designing a chip or system because it isn’t always obvious how the device will be used, particularly if it is integrated into a larger system. Adding too much protection has a cost. It is likely to be slower and consume more power than a device that is not as well protected. The total financial impact of adding security is difficult to measure, but massive amounts of power and performance are lost to make systems secure.

NXP debuted top-side cooling packaging technology for RF amplifier modules, with a focus on thinner and lighter radios for 5G infrastructure.

Micron Technology uncorked 96GB DDR5 RDIMMs in speeds up to 4800MT/s for data center workloads.

Mitsubishi Electric announced a gallium nitride (GaN) power amplifier that achieves a frequency range of 3,400MHz using a single power amplifier, which could be used for 4G, 5G and Beyond 5G/6G communication systems operating at different frequencies in a single base station.

Cortus uncorked a family of low power RISC-V automotive microcontrollers.

IAR updated its IAR Embedded Workbench for Arm, adding integration of the pointer Authentication and Branch Target Identification (PACBTI) extension for Armv8.1-M.

Deals

Dolphin Design adopted Imperas Software‘s tools for RISC-V processor verification of its Panther DSP/AI accelerator IP. ImperasDV includes reference model, architectural validation tests, additional functional test suites, coverage analysis, and simulation-based test methodologies for asynchronous events and debug operations.

Autotalks will use Infineon Technologies‘ automotive-grade HYPERRAM 3.0 memory for its TEKTON3 fully-integrated V2X SoC specifically designed for driving actions by connectivity, as well as in the SECTON3 V2X modem.

NI and Spirent Communications announced an OpenRAN O-RU (Radio Unit) test solution that uses application layer testing with any commercial device to provide comprehensive, real-time O-RU validation. The solution combines Spirent’s software and emulation environment, including pre-built test cases, with NI’s instrumentation.

Nidec Corporation and Renesas Electronics agreed to join forces on the development of semiconductor solutions for a next-generation E-Axle (X-in-1 system) that integrates an EV drive motor and power electronics for electric vehicles.

Arm noted that its SystemReady program, which aims to enable rapid deployment of Arm-based systems across all OSes by collaborating on non-differentiating software, has achieved over 100 certifications.

Quantum computing

IBM plans to open a Europe-based quantum data center to facilitate access to quantum computing for companies, research institutions, and government agencies. Expected to begin operation in 2024, it will host multiple IBM quantum computing systems, each with 100+ qubit processors. A blog explores the software integration layer that will support it.

Eight quantum computing companies reported funding in May, with a major focus on technologies to enable the quantum internet and security techniques. The month also saw activity in photonics, sustainability, and AI hardware.

Fault-tolerant quantum computing startup QC Design emerged from stealth. Rather than building its own systems, the startup is licensing its combination of error-correction hardware architectures, software tools, and fault-tolerance simulator. Its initial focus is on quantum photonics and spin qubits.

Quantum Brilliance released an open-source software development kit for researching, developing, and testing quantum algorithms for the company’s portable, diamond-based quantum accelerators.

Archer Materials said that its carbon-based qubit material achieved electron spin coherence times exceeding 230 nanoseconds at room temperature while maintaining the intrinsic metallic-like character of the qubit material.

Research notes

Researchers from New York University used plain English instructions to the ChatGPT-4 large language model to design an 8-bit accumulator-based microprocessor architecture. The LLM was able to produce workable Verilog through back-and-forth dialogue, although it struggled more with generating verification testbenches than functional code. The subsequent chip was taped out in a Skywater 130nm shuttle. Members of the team also used the method to take first place in Efabless’ recent AI chip design competition.

Chip hot spots could be more effectively dispersed by using surface waves generated on the thin metal films over the substrate, reports researchers from the Korea Advanced Institute of Science and Technology (KAIST). These surface waves, called surface plasmon polaritons, are generated at the metal-dielectric interface and increased thermal conductivity by about 25% over a 100-nm-thick titanium film with a radius of about 3 cm. The team suggests it could be applied as a nanoscale heat spreader for semiconductor devices.

The International Space Station National Laboratory will host a Stanford University project that aims to leverage microgravity to improve the synthesis of materials for photovoltaic devices. The team will anneal copper indium sulfide (CuInS2) semiconductor crystals in space in an effort to reduce defects that commonly occur in crystal production on Earth. More uniform crystals would make for more efficient solar cells.

Upcoming Events

  • Radio Frequency Integrated Circuits Symposium-RFIC 2023 – June 11-13 (San Diego, CA)
  • ISCA 2023: International Symposium on Computer Architecture – June 17-21 (Orlando, FL)
  • MIPI DevCon 2023: Mobile and Beyond – June 30 (San Jose, CA)
  • DAC 2023: Design Automation Conference – July 9-13 (San Francisco, CA)
  • 2023 Flash Memory Conference & Expo – August 8-10 (Santa Clara, CA)
  • DARPA: Electronics Resurgence Initiative (ERI) – August 22-24 (Seattle, WA)
  • Hot Chips 2023 – August 27-29 (Hybrid online & Stanford, CA)
  • More events and webinars

Further reading

Check out the latest Low Power-High Performance and Systems & Design newsletters for these highlights and more:

  • Software-Defined Hardware Architectures
  • Chiplet Planning Kicks Into High Gear
  • Chip Design CEO Outlook
  • IP Becoming More Complex, More Costly
  • Programming Processors In Heterogeneous Architectures
  • Power/Performance Costs Of Securing Systems
  • EDA’s Role Grows For Preventing And Identifying Failures

If you’d like to receive Semiconductor Engineering newsletters and alerts via email, subscribe here.



Leave a Reply


(Note: This name will be displayed publicly)