What’s Missing In EUV?

The gaps include mask inspection and pellicles.

popularity

Extreme ultraviolet (EUV) lithography is expected to move into production at 7nm and/or 5nm, but as previously reported, there are some gaps in the arena.

At one time, the power source was the big problem, but that appears to be solved in the near term. Now, a phenomenon called stochastic effects, or random variations, are the biggest challenge for EUV lithography.

But at most events, the industry says the biggest gap is actinic pattern mask inspection for EUV, followed by EUV pellicles. There are other gaps, but those are the bigger ones.

Both mask inspection and pellicles are part of the EUV mask infrastructure, which is a key part of EUV lithography. So perhaps it’s time to take a quick look at the EUV mask supply chain. Things could easily change, but here’s the latest in the arena.

Mask blank inspection
In simple terms, a chipmaker designs an IC, which is translated from a file format into a photomask. The mask is a master template for a given IC design. It is placed in a lithography scanner, which projects light through the mask. That, in turn, is used to pattern images on a wafer.

If the mask has a defect, the irregularities might get printed on the wafer. So it’s important to capture mask defects. But that’s not always easy, especially for EUV masks.

The EUV mask flow starts with the production of a mask blank. And at times, the production process creates defects, such as particulates, pits and bumps, in the mask blank.

Fortunately, though, the industry has developed various inspection tools for use in detecting defects in EUV mask blanks. Lasertec and KLA-Tencor sell optical-based inspection tools for EUV mask blanks.

In addition, Lasertec recently introduced an actinic inspection mask blank system. Using the same 13.5nm wavelength as EUV, actinic technology can supposedly find more defects than today’s inspection systems using optical techniques.

The actinic mask blank inspection tool makes use of a specialized EUV source. “The critical thing for mask inspection is really having a reliable and stable source,” said Debbie Gustafson, chief executive at Energetiq Technology, a supplier of advanced light and EUV sources for various applications, such as metrology. Hamamatsu Photonics recently acquired Energetiq.

This source is different than the ones used for EUV scanners, which are based on laser-produced plasma (LPP) technology. “In LPP, you have xenon or tin droplets. And you do a pre-pulse with the laser to start the plasma. Every time you have a droplet, the laser has to hit it. That has to be at exactly the same time. Any time you hit it at a different level, you’ll get variation. The actual movement of the plasma is very high,” Gustafson said.

In contrast, Energetiq’s EUV source is based on a Z-Pinch technology using xenon gas. It has a power output of 20 watts. “That’s what is different about our source. It runs on xenon, but it’s magnetically-confined EUV. It’s a Z-Pinch plasma. So stability wise, both spatial and pulse-to-pulse is very good,” Gustafson said. “So, we don’t have any tin and it’s very clean. Each pulse is like the last one all the time. Anybody doing any kind of inspection can rely on their detection.”

All told, Lasertec’s actinic mask blank inspection tool seems to work and the source is stable. So, the industry appears to be in good shape here.

Mask inspection
For patterned mask inspection, the industry can use two types of inspection tool technologies—optical and e-beam. In fact, ASML has shipped a multi-beam e-beam mask inspection tool.

Still, the industry wants actinic pattern mask inspection (APMI). APMI can supposedly find more defects than today’s inspection systems.

So far, though, APMI does not exist, but several entities are developing the technology in R&D. One company, KMLabs, is developing a coherent EUV and soft X-ray table-top source for use in metrology and inspection tools in the semiconductor industry.

Now, in an apparent move to accelerate the development of this and other technologies, KMLabs recently appointed fab tool veteran Kevin Fahey as chief executive. KMLabs also obtained a growth-phase equity funding round with participation from existing investors, including Intel Capital and the Colorado Impact Fund.

Others are also developing the technology. NuFlare and the Paul Scherrer Institute (PSI) are co-developing an actinic technology called RESCAN. Using a synchrotron storage ring, the technology combines scanning scattering contrast microscopy and scanning coherent diffraction imaging.

With this technology, the system can inspect an EUV mask through a pellicle, according to Yasin Ekinci, group leader of advanced lithography and metrology at PSI.

Recently, PSI obtained a next-generation carbon nanotube pellicle from Imec. “We successfully tested them,” Ekinci said in a recent interview. “With or without a pellicle, we get the same image quality.”

While those efforts are ongoing, Lasertec is reportedly developing an APMI tool, according to sources. Lasertec has also obtained funding for the technology from a large chipmaker, sources added. “We have already received orders of the actinic mask ‘blank’ inspection system. And we will ship them in the future,” said Hiroshi Asai, general manager of corporate planning at Lasertec, in a recent e-mail. “We have not developed an actinic ‘pattern’ mask inspection system.”

Asked if Lasertec is developing an actinic pattern mask inspection system or considering to develop one, Asai said: “I have no comment to make on your questions.”

Mask metrology
Mask metrologists face several challenges. First, the mask is becoming more complex and the features are getting smaller at each node. As a result of these trends, mask makers must take more measurements than ever before with the various metrology tools.

Typically, mask makers use CD-SEMs to measure the CDs for photomask. “Increasing demand on accuracy makes metrology increasingly difficult. 3D profiling is increasingly important too, now especially for modeling work. Accuracy of the image over the entire image field, not just at the center of the image field, may become more important in the deep learning era where data collection of the entire field would be useful in increasing the training data set,” said Aki Fujimura, chief executive of D2S.

“Measuring ILT shapes, especially if multi-beam writing introduces a significant amount of curvilinear shapes on mask designs, will make full field contour extraction more important. Ultimately, wafer plane analysis to extract the projected wafer CD from the CD-SEM picture of the mask will help filter out as much information as possible before deploying AIMS. Particularly for EUV masks, where AIMS machines are extremely expensive, we see increasing demand for wafer plane analysis for mask CD-SEM,” Fujimura said.

Pellicles
Pellicles are also important. Basically, a pellicle is a thin, transparent membrane that covers a photomask during the production flow. The pellicle is a dust cover, as it prevents particles and contaminates from falling on the mask. It also must be transparent enough to allow light to transmit from the lithography scanner to the mask.

ASML, the sole supplier of EUV pellicles, has developed a polysilicon-based pellicle that’s 50nm thick. The industry has made progress with EUV pellicles, but it must withstand the power at good transmission rates.

“We have improved the pellicle transmission rate quite a lot in order to overcome the power loss challenge. The pellicle transmission rate has been improved to 83% now. We expect to improve that to 90% in 2019,” said Y.J. Mii, senior vice president of R&D, design and technology platform at TSMC, at a recent event.

And as stated above, Imec is showing promising results with its carbon nanotube pellicle. Time will tell if any of these technologies will move from the lab to the fab. Stay tuned.

Related Stories
EUV’s New Problem Areas
Random variations will require new methodologies, tools and cooperation among different companies.
Next EUV Issue: Mask 3D Effects
Old problem is becoming more difficult to resolve at each new node; mitigation measures being developed.
Searching For EUV Defects
What issues remain, and how they could affect manufacturing at 7/5nm



Leave a Reply


(Note: This name will be displayed publicly)