Challenges Grow For EUV

Analysis: A scalable power source isn’t the only problem. The supply chain faces different issues if and when a power source solution is developed.

popularity

By Mark LaPedus
In the late 1990s, a group led by Intel launched a consortium to propel extreme ultraviolet (EUV) lithography into the mainstream.

Originally, the consortium, dubbed the EUV LLC, envisioned the advent of EUV scanners that would move into production at the 65nm node. Clearly, the now-defunct consortium underestimated the difficulties and challenges associated with EUV.

ASML Holding, the sole EUV tool supplier, has experienced ongoing delays and problems with the technology. EUV is tardy for the 14nm node and could possibly miss the 10nm window. And there are also signs that ASML’s EUV customers, and its new investors, are becoming increasingly impatient regarding the delayed delivery schedules for EUV.

Many blame the delays on the EUV power source. For years, Cymer, the key developer of EUV sources, has struggled to meet its specified targets. The other EUV source suppliers, Gigaphoton and Xtreme, are also behind.

In a drastic step to solve the lingering problem, ASML has entered into a definitive agreement to acquire Cymer for $2.6 billion. Despite the acquisition, ASML still faces some challenges to get Cymer’s EUV source efforts back on track.

And that’s only the tip of the iceberg. Lost in the shuffle are the ongoing issues with the EUV mask blanks, pellicles, resists and other parts of the supply chain.

The source of the problem
It is unlikely that ASML, and its customers, will pull the plug on EUV in the near term. The industry has poured billions of dollars into EUV. Recently, for example, Intel invested some $4.1 billion in ASML, as part of an effort to raise the overall confidence level in EUV and the 450mm wafer transition. TSMC and Samsung also recently invested in the Dutch-based lithography giant for similar reasons.

Intel and others have backed EUV for years. In the 1990s, there were fears that optical lithography would run out of gas, prompting the need for a next-generation lithography (NGL) technology. More recently, EUV became the leading NGL candidate. The other NGLs, maskless lithography and nanoimprint, are lagging behind.

Compared to optical lithography, EUV is a radically different technology. Using a 13.5nm wavelength, EUV is a soft X-ray technology in which the processing steps take place in a vacuum chamber. EUV also uses defect-free mirrors that reflect light via interlayer interference.

There are three types of EUV source technologies: laser-produced plasma (LPP), discharge-produced plasma (DPP) and a hybrid approach. In LPP, plasma is generated by a focused laser pulse hitting an appropriate target material. In DPP, the plasma is generated within an electrode system by an electrical discharge in the gas phase. Laser-assisted discharge plasma (LDP) is the hybrid technology. For the commercial market, Cymer and Gigaphoton are working on LPP technology, while Xtreme is developing an LDP source.

Meanwhile, ASML is expected to ship its NXE:3300B, its first EUV production tool, later this year. In January, ASML promised an acceptable throughput of 69 wafers an hour (wph) for the tool. In the future, ASML has said it needs to reach 250 watts of average source power to achieve the 125 wph throughputs sought by its customers.

In a recent interview, Yan Borodovsky, an Intel senior fellow and director of advanced lithography at the Technology and Manufacturing Group in Portland, said that EUV source power needs to be in the range of 1,000 watts for patterning the contact holes. This is partly due to the much slower resists required for good contact hole patterning. Contact resists must be in the range of 60 to 70 milliJoules/cm(2).

But amid ongoing delays for the EUV light source from Cymer, ASML recently lowered its targets and promised a throughput in the “30ish” range in terms of wph in 2012. Right now, however, Cymer’s EUV light source has been exposing wafers at up to 11 Watts in power, resulting in 7 wph for the NXE:3100. The NXE:3100 is a pre-production EUV machine.

In the lab, ASML and Cymer have demonstrated a sustained 30-watt source exposure power potential, which would enable the NXE:3300B to expose 18 wafers per hour. ASML’s specified target remains at 105 Watts, or 69 wph, to be achieved for 2014 production.

Clearly, ASML’s acquisition of Cymer is aimed to accelerate the development of EUV and the sources. ASML’s expertise in lithography systems design and integration supposedly will reduce the risk and accelerate the introduction of this technology.

Going forward, ASML faces an uphill battle. “Regarding field performance, Cymer highlighted an average power of 9 to 13 Watts, droplet stability of better than 0.5%, and 60% source availability, enabling 100 wafer throughput per day,” said C.J. Muse, an analyst at Barclays Capital, in a research note prior to the acquisition. “We note that the 60% source availability is down from 70% in the prior two quarters, suggesting progress is still slow here.”

Cymer has been able to improve the tin droplet stability with a new steering mechanism. “As for downside, Cymer is still not able to show equal to or better than 0.2% dose stability as per ASML’s specs,” Muse said. On its roadmap, Cymer hopes to deliver a 20 watt source, with a <0.5% dose stability and a 90% duty cycle by year’s end. By mid-2013, Cymer plans to have a 40 to 60 watt source at less than 0.5% dose stability.

Like Cymer, the other EUV source providers are struggling. “Xtreme has apparently resolved the reliability issues, but power scaling remains a focus,” Muse said. “And Gigaphoton continues to make incremental progress, though an integrated real pilot tool is not scheduled to be released until 2013.”

Forgotten pieces in the puzzle
The source has garnered most of the attention, but there are also other challenges. One forgotten piece of the EUV puzzle is the pellicle. EUV masks do not make use of a protective pellicle, which could introduce undesirable and killer defects in the flow. “That also means the mask life may be shorter,” said Banqiu Wu, principal member of the technical staff and chief technology officer for the Mask and TSV Etch Division at Applied Materials.

Stefan Wurm, the director of Sematech’s lithography program, raised another concern: There are problems with EUV mask blanks. “I am much more worried about the mask issue,” Wurm said at a recent event. A Sematech assignee, Wurm is also a principal member of the technical staff at GlobalFoundries.

EUV mask blanks have MoSi multilayers. They must be free of defects and particles to a much higher degree than today’s mask substrates. There are only two mask blank suppliers, Asahi Glass and Hoya. And only one fab tool vendor, Lasertec, is developing an EUV blank inspection tool.

“If you look at blank inspection, that’s a big issue,” said Franklin Kalk, executive vice president and chief technology officer at Toppan Photomasks. “How many mask blank companies are there? There might be two. How many inspection tools do they need? They only need a couple. How do you make a business of blank inspection tools for EUV if you are never going to sell more than five? That’s a tough business model.”

For some time, the industry has also come to the troubling realization: EUV mask blanks will have defects in some form or another. “We will always have defects on our blanks,” Kalk said. “Therefore, we will need to manage those defects.”

In one strategy, mask makers will first map the defects for an EUV blank. Then, they will pattern around the defects to avoid them. “I think it would be prudent to use fiducial marks on the blanks and do two separate blank inspections. You would inspect the multilayer and then put down the absorber stack and then re-inspect it. Then, you map all those defects,” he said.

Then, there are several ways of writing around the defects. “One is that you shift the pattern. You basically bury the multilayer defects under the dark areas, where the absorber won’t be removed. Or, if you can’t do that, and you know that a defect is going to fall in an area that’s written, then you write in such a way that the defect still doesn’t print on the scanner,” he said. “The alternative, of course, is after you’ve written the pattern, you go in and inspect. And then you repair.”

Like the EUV power source, the EUV blank inspection, pattern inspection, repair and related tools must be ready in time. “That whole strategy of managing defects is going to be the key issue for masks,” Kalk said. “The tools and the defect-management strategy are not trivial.”



Leave a Reply


(Note: This name will be displayed publicly)