Is EUV Making Progress?

Instead of focusing just on the light source, researchers are now pushing for breakthroughs in the photoresist. But other problems remain.

popularity

By Ann Steffora Mutschler & Ed Sperling
EUV has been promised for a couple of decades, counted on for at least three process nodes on the ITRS roadmap, and considered essential to chip manufacturing since 22nm. Billions of dollars have been invested in R&D, engineering teams from around the world have contributed to its development, and still serious problems persist.

Just how close the industry is to solving these issues is an ongoing debate. But the fact that most design teams are working with double patterning at 16/14nm, and planning for triple patterning/quadruple patterning at 10nm, are a good indication of how intractable these problems have become. The best scientific minds, armed with massive resources contributed from all parts of the globe, still haven’t come up with a commercially viable solution.

“One inflection is taking place in patterning or lithography,” said Dave Hemker, senior vice president and chief technology officer at LAM Research. “EUV isn’t going to be available in any meaningful way before 7nm. Multiple patterning has been going on for awhile. Now, you are seeing that becoming more pervasive. You are seeing a move from double-, to triple-, to quad-patterning. From our perspective, we also are seeing much more atomic layer deposition for the spacers in patterning.”

At present, there are two problems that are considered showstoppers for EUV — a consistent and powerful enough light source, and EUV mask layer defects. There are some minor ones, as well, such as mask patterning defects, but those are considered fixable with additional effort once the really mammoth problems are solved.

Photoresist issues
The light source issue is the one that has garnered the most attention, and there are two ways to look at this problem. One is from the perspective of the light source itself, and much has been written about race to increase the power of that light source and therefore wafer throughput inside a fab. The second is from vantage point of the photoresist, the light-sensitive material used to form a pattern on the substrate.

“What the people who are making the tools want is a photoresist that can work with a very small exposure dose, which is the intensity of the light times the exposure time,” said Chris Mack, lithography expert and industry consultant. “The intensity of the light is controlled by the source, and the EUV sources don’t have enough power. As a result, they run very slowly. So the exposure time is long because the intensity of the light is lower than we want. Because of this, the time is higher than we want, because the intensity times the time has to equal the required dose of the photoresist. What the tool vendors would like would be a resist that would work really well with a low exposure dose.”

It doesn’t matter which way the problem is solved. The breakthrough can be a more powerful light source, a more sensitive photo resist, or both.

“In the end we all know it’s the system that matters,” he said. “We have to have a full system that works, but for most people, unless you are a resist chemist, the resist is like a black box. It’s this magic goop that nobody knows how it works and we just say, ‘Oh, resist companies, they’ll figure something out and they’ll give us a faster resist and all of our problems will be over.’ But most people who have been working on this problem realize that’s not going to happen.”

John Sturtevant, director of the modeling and verification group at Mentor Graphics, has a similar view. “There is this Bermuda Triangle that is operative in all resist systems, but is particularly acute at EUV wavelengths. The legs of that triangle are line edge roughness, photospeed of the dose, and resolution — and it ends up being that you can pick two you want to improve and the other is going to suffer. That’s acutely the case in EUV, and it’s not fundamentally related just to the photoresist. It’s related to the entire imaging system. It’s more acute in the case of EUV for a variety of reasons, some of which are related to the photoresist, but it’s exactly that tradeoff.”

Because it’s very expensive and difficult to climb the source power curve, that places a premium on having the fastest photospeed resist possible, Sturtevant said. “This is because the scanners, whether they are 248 or 193 (nm) or now EUV, all operate on the principle that you take the lens and block out the sweetest spot of the lens, then you synchronously scan the wafer stage and the reticle stage at a 4X ratio, and that’s how you sweep out the pattern on the chip. The faster you can scan, the more wafers per hour, and you can recoup your investment because litho is always the limiting step in production in the fab. And that’s especially true now with $100 million scanner systems. You’d like to be able to scan faster, but you can only scan as fast as the sensitivity of the resist, and that’s one of those legs in the triangle. That’s particularly interesting when you start thinking about things like contact layer, where historically we used dark-sealed masks on which the only holes on the mask were where the tiny little contact holes are. So 90% of a mask is blacked out and you’re just letting photons into the holes where you want them.”

A shot in the dark
One of the key metrics here is line edge roughness, sometimes called shot noise.

“When you make the exposure dose low enough, then the total number of photons that you have to collect up to create that total dose becomes really small, and it becomes a statistical quantity that has lots of variants,” said Mack. “You shoot one contact hole and you give it 100 photons, and then the next contact hole right next to it only gets 90 photons. This is a statistical reality of how light behaves. It’s a law of physics that we can’t overcome. So, the lower the exposure dose that you use to expose your photoresist, the more statistical fluctuations occur in that exposure. The result is a feature that is rougher, with more variation. This is a serious and fundamental problem. I wouldn’t call it a resist problem, although some people do. But from a manufacturing perspective, the problem is with the light source. From an understanding of what’s going on, the problem is the physics of exposure that has this statistical fluctuation. It is a real fundamental limit of physics, and there’s not much you can do about it. You can’t change the laws of physics but you can squeeze out the best of what the physics will allow.”

One of the solutions researchers have been hoping for is an after-the-fact smoothing. “The idea is that after you print this feature, and it’s all rough, you come along with a post -lithography smoothing process that smooths away the roughness, and a lot of people have been pinning their hopes on, ‘Well, if we can’t change the laws of physics to actually make smooth features to begin with, maybe we can smooth them after the fact.’ Since that will be done on a tool that’s not a very expensive EUV lithography tool, we can afford to do that,” he said.

But is this truly viable? Mack presented a paper at the SPIE Conference in February that casts doubt whether this approach will work.

“When we print a line printed in photoresist on the wafer, we don’t just print one line,” he said. “We print a thousand, a million, a billion of these lines. And, we talk about high frequency and low frequency roughness. The high frequency roughness is the really jagged edges you see when you first look at a line, and the low frequency roughness, which is still a statistical phenomenon that comes from the statistical fluctuations, results in neighboring lines having different line widths. One outcome of the statistical fluctuations that are inherent in having atoms and photons — discrete quantities — that are doing all the work is that these fluctuations occur over very short spacial distances, and that’s what we call high frequency roughness. But it can happen over longer length scales, as well, and the result is critical dimension (CD) variation. Smoothing can smooth away the high-frequency roughness but it can’t smooth away the CD variations. Suppose I have these two lines next to each other, one on the left and one on the right, and because of the statistical fluctuations, the one on the left is too small and the one on the right is too big. For a smoothing process to fix that, the smoothing process must be able to know that the one on the left is too small and make it bigger, and know that the one on the right is too big and make it smaller. That’s a pretty smart smoothing process, and we don’t have anything that can do that — with one exception, and that’s directed self-assembly.”

In raw numbers, Mack argues that photoresists today need about 30 millijoules per square centimeter exposure energy to expose them. The commercially stated goal is 20 millijoules per square centimeter exposure energy. If there is improvement in the photoresist—say one that works at 10 millijoules, then the throughput from existing light sources will double. And with some line edge smoothing, there is hope that this problem ultimately can be solved. But there also is a healthy dose of skepticism among litho experts after years of promises.

In any case, the EUV power source can generate 90 watts of power today. ASML and Gigaphoton are separately developing EUV power sources that could reach 250 watts in the future. At 250 watts, EUV could be used in high-volume production.

But 250 watts may not be quite enough. For example, in a recent study, GlobalFoundries compared EUV and rival 193nm immersion with triple patterning in a simulated environment. The goal was to see how much EUV power would be required to match or beat the throughput of triple patterning. In the experiment, GlobalFoundries printed 22nm half-pitch contacts. The EUV resist had sensitivities of 30mJ/cm2.

“In order to be cost effective with immersion triple patterning, we are going to need source power somewhere between 350 and 400 watts,” said Harry Levinson, senior fellow and director of strategic lithography technology at GlobalFoundries. “So there needs to be substantial progress of the EUV light sources to make EUV lithography cost effective against triple patterning.”

EUV3300
Cymer’s Laser Produced Plasma EUV Power Source.

And now, the mask
Even if that does get solved, EUV mask layer defects pose a different kind of nightmare, in part because these defects are almost impossible to find and in part because even when they are found, they can’t necessarily be fixed.

“An EUV mask has its own unique mask defect that you don’t see on DUV masks,” said Leo Pang, chief product officer at D2S. An EUV mask blank is made of 41 bi-layers, we call multilayers. You can have a small defect on the substrate that will cause the change of multilayer profile. It will change a little, curve a little. You won’t find it because the profile change is very gradual, but it can have large wafer impact.”

Multilayer defects in EUV masks cannot be repaired. You either have to cover them under the absorber layer, or you have to compensate for their impact. When they are exposed, it’s hard to detect them with a mask inspection tool. On top of that, it’s difficult to predict their impact on wafer.

“The way to compensate for this is to modify the absorber pattern, dig a hole on the multilayer layer or to deposit extra material on top of multlayer,” said Pang. “But overall, this problem is not solved yet. The multilayer defect situation is getting better. There used to be more than 100 multlayer defects. Sematech has managed to get this down to between 6 and 10 defects on their champion data. One technique being used is to move the pattern around to minimize the impact, but there may still be two or three more that are not covered. You have to compensate them, and you need EUV mask and litho modeling and simulation to calculate what would be the best way to compensate them.”

Conclusion
EUV remains one of the semiconductor industry’s best hopes for solving lithography issues and reducing the number of patterns required. At 7nm, the current thinking is that even EUV will require double patterning, but in comparison 193nm immersion technology will require octuple patterning. Directed self-assembly is the other possibility, and serious work is underway there to determine whether that approach is commercially viable.

But as fabs begin commercializing 10nm, stabilizing their processes, and as vendors begin rolling out tools and IP for that node, there are a lot more questions about what comes next than anytime in the semiconductor industry’s history—and who will be able to afford to bear the exploding research costs if EUV or DSA don’t work as planned.

—Mark LaPedus contributed to this report.



4 comments

memister says:

7 nm would require immersion quadruple patterning. But it can be evolved from double patterning without using so many masks, if the spacer is dielectric, so that it does not need to be cut.

memister says:

It’s good that all the issues are finally getting covered at the same time. I was shocked to find that mask focus is also pretty critical to make sure all your features are placed correctly. https://www.researchgate.net/profile/Thomas_Schmoeller/publication/241466754_EUV_pattern_shift_compensation_strategies_-_art._no._69211B/links/0a85e53a1b1026a928000000.pdf?disableCoverPage=true

Greg Lemon says:

Yeah, but besides all that, will the SST be commercially successful soon?

Leave a Reply


(Note: This name will be displayed publicly)