Mapping The Future Of Lithography


The SPIE Advanced Lithography + Patterning (AL+P) Symposium is always an informative event for lithographers, and looking at the Advance Program, it appears that AL+P 2023 will be no exception. The progress being made on key lithographic challenges is consistently of interest to attendees, and there will be many timely presentations that address issues of current significance. For example, r... » read more

Week In Review: Manufacturing, Test


Chipmakers Intel has announced a definitive agreement to acquire Tower, a specialty foundry vendor, for approximately $5.4 billion. With the acquisition of Tower, Intel expands its efforts in the foundry business, and put its rivals on notice. With Tower, Intel gains access to mature processes as well as specialty technologies, such as analog, CMOS image sensor, MEMS, power management and RF. ... » read more

200mm Shortages May Persist For Years


A surge in demand for chips at more mature process nodes is causing shortages for both 200mm foundry capacity and 200mm equipment, and it shows no signs of letting up. In fact, even with new capacity coming on line this year, shortages are likely to persist for years, driving up prices and forcing significant changes across the semiconductor supply chain. Shortages for both 200mm foundry cap... » read more

Manufacturing Bits: March 8


Two-beam EUV lithography At the recent SPIE Advanced Lithography conference, Nikon gave a presentation on a two-beam extreme ultraviolet (EUV) lithography technology. Still in the conceptual phase, Nikon’s so-called EUV Projection Optical Wafer Exposure Ruling Machine, or EUV Power Machine, is designed for the 1nm node or so. The proposed system has a minimum resolution of 10nm for lines ... » read more

Week In Review: Manufacturing, Test


Market research VLSI Research has raised its forecast for semiconductors and fab equipment in 2020. In its previous forecast, VLSI Research projected that the equipment market would reach $84.8 billion in 2020, up 10.1% over 2019. Now, in its latest forecast (See page 2), the equipment market is projected to hit $89.8 billion in 2020, up 16.6%. “The equipment business is booming,” said ... » read more

Mask/Lithography Issues For Mature Nodes


Semiconductor Engineering sat down to discuss lithography and photomask issues with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Harry Levinson, principal at HJL Lithography; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation. ... » read more

Week In Review: Manufacturing, Test


Chipmakers and OEMs At next week’s Apple Worldwide Developers Conference, Apple is expected to roll out its long-awaited Arm-based Mac computers. This could provide a boost for Apple’s foundry vendor as well as equipment makers. It’s the worst-kept secret in the industry. As reported by the Apple sites, Apple is moving from Intel’s microprocessors to its own Arm-based chips for th... » read more

Week In Review: Manufacturing, Test


Chipmakers and OEMs Senator Patrick Leahy (D-Vt.), Senator Chuck Schumer (D-N.Y.) and Senator Jack Reed (D-R.I.) have sent a letter to officials from the Trump administration, demanding answers about TSMC’s recent announcement to build a fab in Ariz. As reported, TSMC has announced its intention to build and operate an advanced semiconductor fab in the U.S. The fab, to be built in Arizona, w... » read more

Week in Review – IoT, Security, Autos


Products/Services Achronix Semiconductor joined Taiwan Semiconductor Manufacturing’s IP Alliance Program, part of the foundry’s Open Innovation Platform. Achronix’s Speedcore eFPGA IP is available today on TSMC 16nm FinFET Plus (16FF+) and N7 process technologies, and it will be soon available on TSMC 12nm FinFET Compact Technology (12FFC). Cadence Design Systems announced that its di... » read more

200mm Cools Off, But Not For Long


After years of acute shortages, 200mm fab capacity is finally loosening up, but the supply/demand picture could soon change with several challenges on the horizon. 200mm fabs are older facilities with more mature processes, although they still churn out a multitude of today’s critical chips, such as analog, MEMS, RF and others. From 2016 to 2018, booming demand for these and other chips ca... » read more

← Older posts