Mask/Lithography Issues For Mature Nodes

Experts at the Table: Spare parts are scarce for some tools and they don’t do everything, but they are nearly free to operate. That limits purchases of new equipment.

popularity

Semiconductor Engineering sat down to discuss lithography and photomask issues with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Harry Levinson, principal at HJL Lithography; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation.

L to R: Noriaki Nakayamada, senior technologist at NuFlare; Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Aki Fujimura, chief executive of D2S; and Harry Levinson, principal at HJL Lithography.

(L to R) Noriaki Nakayamada, Bryan Kasprowicz, Aki Fujimura, and Harry Levinson.

SE: For some time, there has been strong demand for the mature process nodes in older 200mm fabs. Generally, 200mm fab capacity is sold out. Plus, it’s difficult to find suitable 200mm equipment for these fabs. What are some of the photomask and lithography equipment issues for mature nodes? (Made in a mask facility, a photomask is a master template for an IC design. In the fab, the mask is placed in a lithography scanner. A wafer is moved into the scanner. The scanner projects light through the mask onto the wafer, creating patterns on the wafer.)

Kasprowicz: You are seeing an evolution for mature nodes. New products are continuously coming out, in general, for the 65nm and even 45nm and above nodes. For these nodes, the fab tools are fully depreciated. So outside of modest maintenance on the wafer side of things, the tools are typically cash cows at this point. That’s a different mask strategy for those nodes. 65nm is a slightly different mask strategy, requiring more e-beam writes. Then, the wafer lithography tools, if they are still working, are printing money. The material costs are relatively low and you have limited CapEx that you have to spend. Companies are still making products with them and they are definitely making money. There are some challenges. Let’s say somebody is operating a 200mm fab and they want to extend it for another node. If they are at the end of life with a 248nm lithography tool with a certain numerical aperture, and then they want to jump to the next node, it requires a lithography tool. There are not many lithography tools that are available to help them extend it for one more node. Even if they could get them, that puts them in a near-term price point bind due to the CapEx to buy the tools. So companies are still trying to stay with the older generation chip products. Still, these type of chip products are growing left and right. The demand is there.

Levinson: There is still a fairly decent used equipment market out there for some of these mature nodes. A lot of these leading-edge fabs are selling off some of their older tools. In the lithography market, Nikon, Canon and ASML have recognized that they can do good business in the secondary markets. For example, ASML has the TwinScan. It was always a 300mm system. Now, they are making 200mm versions of that tool, because people need a certain capability. Some companies want to stay on the 200mm wafer size for good reasons.

SE: ASML is the sole supplier of extreme ultraviolet (EUV) lithography scanners. But what does the lithography landscape look like for mature nodes?

Levinson: If you look at the KrF (krypton fluoride laser) or 248nm lithography market, Canon, Nikon and ASML are all in the business. There is a lot of competition on the selling side here. The lithography market only became a bit of a monopoly when we got into the leading-edge 193nm immersion and EUV markets. But the competition among suppliers is healthy with some of these dry lithography tools. So for mature nodes, we are seeing i-line and KrF, and some 193nm lithography tools in use. Then, in the packaging area, there are some broadband lithography tools out there as well.

SE: Looking at the eBeam Initiative’s Mask Makers’ Survey, the volumes for photomasks at the leading edge are relatively low at this point. (See below for chart.) This involves 7nm and 5nm. Then, if you look at the volumes for photomasks at the trailing edge, the numbers are rather significant. What are some of the issues for photomasks here?

Fujimura: Today, some 70% or so of masks are made by laser writing tools. That’s correlated with 130nm ground rules and above. If you look at the chart from the eBeam Initiative’s Mask Makers’ Survey, the numbers do jump out at you with masks above 130nm. One thing to be careful about in reading this plot is that the left most point is 130nm and all ground rules above that. It’s not 130nm by itself. But still, it does point out that 130nm and above represents a very high percentage of mask ground rules. Ground rules are about mask processing technology. It describes all of the mask specifications that the mask shop needs to be able to meet for a particular mask that corresponds to the critical layer (meaning the tightest spec for the masks) of a given technology node. So when we say 130nm ground rules, that means the ground rules on the mask necessary for the critical layer masks for the 130nm node. Depending on the ground rules, different equipment, materials and processes are necessary in the mask shop’s production line. In comparison, a given wafer at the 7nm node contains many different masks — typically over 80 masks. Of all those masks, only some are critical layer masks. If the wafer fab is using 193nm immersion lithography scanners for the critical layers of that technology node, there are multiple masks for one layer on the wafer. Each wafer layer is like a gate or metal 1 or a via or contact. These typically have the smallest dimensions with the tightest specifications.

Fig. 1: Masks delivered by ground rules. Source: eBeam Initiative

Kasprowicz: It certainly depends on the lithography strategy. For the most part, at the mature nodes everybody is trying to stay on binary masks, because it’s cheaper. This depends on the node and the litho strategy. If you have a new product that pushes the litho process limits, you may have to look at some resolution enhancement techniques (RETs) like phase-shift masks (PSMs). This drives up mask cost. Some companies that are being introduced to these technologies for the first time can be a bit surprised at first. In addition to PSM, we have seen some companies now looking to extend the lifetime of a tool set and are dipping into minor OPC. It’s a similar mask manufacturing tool set, but you’ll start seeing some simple rules-based OPC. It’s not difficult. But it might require a migration to a different inspection system or to an e-beam write tool, for example. Or, in a very simple case, they may even go to a simple phase-shift mask just to extend that one or two layers of resolution or process window that they need to create a new product. So they can effectively have a half-node jump or a quarter-node jump, whatever the case may be, but they get the performance metrics that suits their products.

SE: In 2018, some industry insiders predicted a huge boom for masks and equipment for mature nodes. At the time, there was a shortfall for mask equipment at mature nodes, especially mask writer tools. So the industry began to develop new mask tools for mature processes. Mask writer tools, which pattern the features on masks, include two types, laser and e-beam. Laser writer tools pattern the non-critical layers in masks, while e-beam mask writers process the critical layers. What are some of the issues with these tools? And are we seeing demand for them?

Fujimura: Laser writing can do 250nm and above ground rules, with the most leading-edge laser writers being able to do 130nm and above today.

Nakayamada: We have a new e-beam mask writer tool for 65nm and 45nm. We hope that the tool is also viable for 130nm. So we are building new e-beam tools for mature nodes to replace tools, which will one day go into retirement. But the demand is not as high yet as some had thought two years ago. That’s because some e-beam tools are still working after 20 years of operation. And especially for the 65nm and 45nm nodes, most of the tools are still in operation. The demand for a replacement is not so high. But definitely, at 130nm and 90nm, those tools are going to retire within a few years. NuFlare does not have a proposal for a supplemental or replacement tool here. So laser writers are trying to expand their territories to 130nm and 90nm. It’s hard to say whether customers are going to re-engineer a 130nm mask with a laser writer or will use these tools at the 65nm node. We have e-beams tools for 130nm. The first tools shipped in 1999. So it’s already 21 years. Some are still working, but there are no spare parts. And as soon as the spare parts are exhausted, a tool will retire. A customer may need to find a replacement tool.

Kasprowicz: The challenge is more problematic on the laser tools. They are a lot older. And there is not an identified solution for that. Even if there is a solution for an e-beam tool at the 130nm, 90nm and 65nm domains, that one is problematic too. Back when those tools first came out, state-of-the-art mask prices back then could support paying eight figures for a tool. Now, if you have mature nodes, where there has been significant price erosion, a 65nm mask set costs much less today than it did 10 years ago. You’re never going to see any payback at that price point. As we look at supplemental tools, you must have a cost perspective in mind. Let’s say if we look at a new e-beam tool. To maintain it three nodes and maybe others, we have to do some cost assessments and modeling to make sure that we can still make money out of it. If you put in a mature line, this also requires a chemically amplified resist line and improved air filters. It’s a different environment than just running straight i-line lasers. Also on the laser side, everybody knows who the main players are. They are no longer making i-line laser tools for the most part for 6-inch mask manufacturing. So that’s a bigger issue, as well as the inspection tools. Those are the same tools that we’ve had for 30 years. And for spare parts, we forge them on our own.

SE: Are you seeing mask demand for mature nodes, and are the tools are available?

Kasprowicz: We have capacity to support them. The bigger concern is if one of these tools goes offline for an extended period, it’s catastrophic. You lose that tool. And you don’t have anything that you can go out today and buy. A new $8 million or $12 million laser tool isn’t going to cut it. When you’re building quarter-micron masks, and the mask cost is three maybe four figures a mask, it’s not going to work. The economics aren’t there. So that’s the concern. The question is how do you obtain these tools at the right price points. If these tools are available, people will buy it. We would like to offset some of the aging tools, but they’re just not available at the moment yet.

Related Stories

Demand Picks Up For 200mm

Wanted: Mask Equipment for Mature Nodes

Mask Making Issues With EUV

Inspecting, Patterning EUV Masks

Making And Protecting Advanced Masks



Leave a Reply


(Note: This name will be displayed publicly)