Advancing To The 3nm Node And Beyond: Technology, Challenges And Solutions

How nanosheets stack up to finFETs.

popularity

It seems like yesterday that finFETs were the answer to device scaling limitations imposed by shrinking gate lengths and required electrostatics. The introduction of finFETs began at the 22nm node and has continued through the 7nm node. Beyond 7nm, it looks like nanosheet device structures will be used for at least the 5nm and probably the 3nm nodes. The nanosheet device structure is the brainchild of IBM [1], and eloquently turns the FinFET structure on its side and then stacks a few of these nanosheets one on top of each another. This increases the effective device width per active footprint area, and ultimately the available drive current. Figure 1 shows the evolution of a nanosheet structure from a double stack structure to an optimized single stack structure. Figure 2 displays the improvement in Weff (total effective width) at a fixed active region width, comparing an extremely scaled finFET to an optimized single nanosheet stack containing 3 levels.


Fig. 1: Nanosheet evolution [1].


Fig. 2: Improvement in Weff per fixed footprint [1].

Optimizing nanosheet performance requires careful design of the nanosheet width (Dwire), the nanosheet thickness (Twire), and the nanosheet spacing (Tsus), as shown in figure 3. Figure 4 displays the resulting device electrical characteristics for both finFET and nanosheet devices. With careful optimization of geometry, nanosheets outperform finFETs both electrostatically and with respect to Ion/Ioff performance (figure 4). Even with potentially higher total Ceff (effective capacitance), the nanosheet AC frequency performance also improves upon its finFET predecessor (figure 5).


Fig. 3: Nanosheet geometry [2].


Fig. 4: Electrical characteristics: FinFET vs Nanosheet [2].


Fig. 5: AC frequency comparison [2].

When we consider the 3nm node and beyond, the preferred device architecture may evolve yet again, from a nanosheet to a stacked forksheet architecture [3]. The stacked forksheet device is similar to the nanosheet device, only now the nFET and pFET devices are next to each other and separated by a dielectric wall that greatly reduces n-to-p spacing. Researchers at Imec have quantified the power-performance advantage of the forksheet structure using their 2nm technology node. They have demonstrated a 10% speed advantage at constant power and a 24% power reduction at constant speed [4] compared to a nanosheet device. This performance gain is achieved by a combination of reduced CMiller capacitance (due to a smaller gate-drain overlap), and the ability to increase the sheet width to improve drive current.


Fig. 6: Evolutionary path from finFET to forksheet [4].

As we scale to 3nm and beyond, BEOL interconnect technology must also scale to take advantage of the power-performance improvements created by these new device structures. The BEOL interconnects need to provide low wire and via resistance in order to ensure power efficiency and meet reliability requirements at the smaller line widths. The dual damascene interconnect process has been the BEOL workhorse for multiple technology generations up to the present time but may have future scaling issues. Until recently, copper has been the metal of choice for interconnects, but as we continue to scale towards smaller and smaller metal pitches it is being challenged from both a resistance and reliability point of view. Copper liner requirements limit the ability to scale this metal to smaller dimensions. This limitation has increased research into replacing copper with alternative metals such as Co, Ru, and Mo at the local metal levels. Hybrid metallization or via prefill are other technology options being explored to scale BEOL interconnects (see figure 7).


Fig. 7: Hybrid metallization: Via prefill [5].

Beyond the choice of metal, the process of forming metal interconnects at a 20nm pitch is also being researched. The scaling limits of dual damascene have led to a renewed interest in subtractive metal etch, or a semi-damascene process. In this approach, a via is etched into the dielectric, then overfilled with metal. The metal is then patterned and etched directly on the wafer. The etch limitations of Cu will require that other metals (e.g. Ru or Mo) will also need to be considered in the semi-damascene process. There are many potential issues with a semi-damascene process flow. These include a more challenging alignment process (since it is difficult to see the alignment marks once the wafer is covered in metal), along with metal etch and LER (line edge roughness) issues. However, a semi-damascene process flow also has a number of advantages. These advantages include:

  • The potential to increase the aspect ratio of the metal lines, which can lead to lower resistance.
  • The ability to incorporate air gaps between the metal lines, which can significantly reduce capacitance [5].

Overall, the road to 3nm and beyond is filled with exciting opportunities in both device structure and BEOL interconnect schemes. The goal, of course, is to maximize chip performance while minimizing chip power dissipation, process complexity and cost. This is an extremely challenging problem and will require significant research in process and device modeling, process integration, and wafer fabrication to determine the best options. This work will hopefully lead to the commercialization of next-generation nanosheet and forksheet structures, as we advance to the 3nm node and beyond.

References:

  1. Loubet, et al., Symposium on VLSI Technology (2017).
  2. S-D. Kim, et al., IEEE SOI-3D-Subthreshold Conf. (2015).
  3. IMEC Publication
  4. Ryckaert, et al., IEDM 2017.
  5. Tokei, et al., IEDM 2020.


Leave a Reply


(Note: This name will be displayed publicly)