Notes From The Chip Beat


Over the last several months, I’ve attended a number of conferences, such as IEDM, SPIE, the FD-SOI Summit and others. At each conference, there is a dizzying amount of information and data. Eventually, some information turns into an article, while most ends up buried in a reporter’s notebook. In any case, here are five observations I’ve made, based on those and other events in the pa... » read more

200mm Crisis?


Over the last year or so, the IC industry has experienced an acute shortage of both 200mm fab capacity and 200mm equipment amid a surge of demand for certain chips. Right now, though, the 200mm shortfall is much worse than before. But this situation isn’t expected to improve for both elements in the second half of 2017, and perhaps beyond. On the capacity front, chipmakers are generally... » read more

The Future Of Patterning


Greg McIntyre, director of advanced patterning at imec, offers his thoughts on what it’s like to work at one of the world’s leading nanoelectronics R&D centers, as well as the importance of eBeam technology to lithography and mask making, what’s driving up confidence in EUV, and the latest on imec’s joint venture with JSR in EUV resist development. [youtube vid=q8cA_9rWecU] » read more

What Drives SADP BEOL Variability?


Until EUV lithography becomes a reality, multiple patterning technologies such as triple litho-etch (LELELE), self-aligned double patterning (SADP), and self-aligned quadruple patterning (SAQP) are being used to meet the stringent patterning demands of advanced back-end-of-line (BEOL) technologies. For the 7nm technology node, patterning requirements include a metal pitch of 40nm or less. This ... » read more

Extending EUV Beyond 3nm


Jan van Schoot, senior principal architect at [getentity id="22935" comment="ASML"], sat down with Semiconductor Engineering to talk about how far EUV can be extended and where it is today. What follows are excerpts of that discussion. SE: High numerical aperture [gettech id="31045" comment="EUV"] has been in the works for some time as a way of extending EUV. How is this technology shaping... » read more

1.41 ‘Giga-Searches’ Per Second?


Data centers, which are the engines of the Internet, are responsible for the vast amount of traffic that flows across the network. And, it’s no revelation; our digital universe and data center traffic will reach 10.4 zettabytes (ZB) by 2019, the equivalent to 144 trillion hours of streaming music. As apps and services become more data hungry, the higher the allowance for data traffic we’re... » read more

North America Equipment Market Rebounds


Coming off of two consecutive down years, the North America semiconductor fab equipment market is set to experience growth this year and into 2018. The market is primarily being driven by investments from Samsung, Intel, GlobalFoundries, and Micron, which are expected to account for 85 percent of fab equipment purchased in the region this year. These fab equipment purchases are targeted ... » read more

Materials For Future Electronics


Examining the research underway in electronics materials provides a keyhole view into what may be possible in future electronics design. Although some of this research will not end up in commercial products, it does provide an indication of the kinds of problems that are being addressed, how they are being approached, and where the research dollars are being spent. Flexible electronics are a... » read more

A Look At Atomic Layer Deposition


Imagine being able to deposit a film of material just a few atomic layers at a time. As impossible as that sounds, atomic layer deposition (ALD) is a reality. In fact, it’s being used in an ever-increasing number of applications as an extremely precise and controllable process for creating thin films. Together with its etch counterpart – atomic layer etching (ALE) – ALD is enabling the us... » read more

Manufacturing Bits: May 16


Musical learning chips Imec has demonstrated a neuromorphic chip. The brain-inspired chip, based on OxRAM technology, has the capability of self-learning and has been demonstrated to have the ability to compose music. Imec has combined state-of-the-art hardware and software to design chips that feature these characteristics of a self-learning system. Imec’s goal is to design the process t... » read more

← Older posts Newer posts →