The Other Side Of The Wafer: Transistor Channel Stress In Backside Power Delivery Networks

Wafer thinning and TSV formation can introduce mechanical stress and impact device integrity in advanced transistor architectures.

popularity

As transistor scaling has moved to the angstrom era (18A, 14A, etc.), the issues of interconnect resistance (IR), IR drop, and power loss are becoming more severe.

Traditionally, signal lines and power lines are fabricated on the same side of the wafer as the active device. But fabricating everything on one side of the wafer can create a shortage of space and resources at the interconnect layers.

One emerging innovation in the semiconductor industry is the development of backside power delivery networks (BSPDNs). This architecture offers an alternative to traditional frontside power delivery networks (FSPDNs).

The BSPDN approach involves routing power lines through the backside of the wafer. This frees up the frontside for signal routing and active device regions.

A comparison of frontside versus backside power structures. Backside power delivery networks benefits include reduced power delivery noise, improved power integrity, and enhanced thermal management.

Advantages of BSPDN

This method provides a few advantages, such as reduced power delivery noise, improved power integrity, and enhanced thermal management.1,2

  • By decoupling the power and signal routing, BSPDNs can reduce the voltage drop and power supply noise, leading to a more stable and reliable device operation.3
  • Additionally, the backside approach can alleviate congestion on the frontside metal layers, allowing for more efficient use of the available routing resources and enabling higher device densities.4

Challenges of implementing BSPDN

There are challenges associated with the implementation of BSPDNs. One of the primary challenges is the increased complexity in the fabrication process. The integration of BSPDNs requires additional steps such as wafer thinning, backside metallization, and through-silicon via (TSV) formation.5 These processes result in new challenges in terms of wafer handling, alignment precision, and thermal budget management.

  • Wafer thinning, for instance, can lead to mechanical fragility and increased susceptibility to warping and breakage.6
  • The formation of TSVs, which are essential for establishing electrical connections between the frontside and backside, demands precise etching and filling techniques to ensure reliability and performance.5,7

Further, the introduction of backside metallization layers can also cause thermal and mechanical stress, which can impact overall device integrity.6,8 Stress management is a critical aspect of semiconductor device performance, particularly in advanced transistor architectures such as gate-all-around (GAA) transistors.

Stress can influence carrier mobility, threshold voltage, and overall device reliability.9,10 In the context of BSPDNs, the additional processing steps and material layers can introduce new sources of stress. For example, the thermal expansion mismatch between different materials used in the backside metallization and TSVs can generate mechanical stress, potentially affecting the active regions of the device.7,11

The backside integration approach can impact the stress distribution within the active device, potentially leading to variations in electrical characteristics.9,10 For instance, the mechanical stress induced by the backside metallization and TSVs can influence the channel strain in GAA transistors, which affects carrier mobility and drive current.

There is a need to understand and mitigate these stress effects to ensure optimal device performance.

Analyzing differing stress levels in GAA

The Semiverse Solutions team performed an analysis of stress evolution that occurs during frontside and backside fabrication steps of nanosheet channels in GAA transistors. Using virtual fabrication techniques,12 we investigated stress variations and developed a comparative evaluation of different integration approaches for backside and frontside source/drain (S/D) contacts.

In our study, we investigated a frontside connect scheme and two backside connect schemes, which included a direct backside connect (DBC) and a self-aligned backside connect (SABC) scheme. For each scheme, we studied the impact of S/D epitaxial silicon germanium (SiGe) on nanosheets only versus epitaxial SiGe on both nanosheets and substrate (figure 1).

Fig. 1: A frontside connect scheme (a, d) and two backside connect schemes that included a direct backside connect (c, f) and a self-aligned backside connect scheme (b, e). For each scheme, the Lam team studied the impact of S/D epitaxial silicon germanium (SiGe) on nanosheets only (a, b, c) versus epitaxial SiGe on both nanosheets and substrate (d, e, f). (Image from “Stress Evolution Analysis of Gate-All-Around Transistors: Frontside and Backside Integration Approaches”14)

Our results indicated stress in the X and Z directions, along with the Z displacement, were substantially different for the backside connect scheme compared to the frontside connect scheme.

Fig. 2: (a) Fabrication process steps for the SABC scheme for the epi-on-nanosheet-only case. (b-d) Variations in the stress tensor’s xx, zz components (σxx, σzz) and vertical displacement in arbitrary units as measured at the center of the channel through the key fabrication steps of the transistor. (e) The directions of the xx and zz stress components. (f-g) The vertical displacement of the GAA nanosheets as measured using virtual fabrication software. The top nanosheet has a higher displacement than the one at the bottom. (f) As seen in the model from a side (g) plot of z-displacement versus channel location. (Image from “Stress Evolution Analysis of Gate-All-Around Transistors: Frontside and Backside Integration Approaches”14)

Notably, while stress in the X direction decreases between the epitaxial (EPI) growth and channel release steps, the stress in the Z dimension increases. The Z displacement increases significantly during the backside Si recess step. Additionally, switching from an EPI on both nanosheet and substrate to EPI on nanosheet-only scheme does not alter the stress profile for the backside connect scheme. However, for the frontside connect scheme, this switch results in significant changes in the stress profile.

We also researched the impact of the Ge fraction (x) in sacrificial Si1-xGex on the channel stress for these integration schemes.

This study underscores the significant impact of different integration schemes on channel stress and nanosheet vertical displacement in semiconductor fabrication. It demonstrates that backside connect integration schemes can generate greater mechanical device stress when compared to frontside connect schemes.

We also showed that it is possible to have the advantages of a self-aligned backside connect scheme without a significant penalty in stress profile. This work provides critical insight into the impact of different BSPDN process integration schemes on device stress and offers valuable guidance in the development of future semiconductor devices using backside power delivery networks.

To learn more about this study, please read the full publication, “Stress Evolution Analysis of Gate-All-Around Transistors: Frontside and Backside Integration Approaches.”14

References

  1. Veloso, A., Vermeersch, B., Chen, R., Matagne, P., Bardon, M. G., Eneman, G., … & Ryckaert, J. (2023, December). Backside power delivery: game changer and key enabler of advanced logic scaling and new STCO opportunities. In 2023 International Electron Devices Meeting (IEDM) (pp. 1-4). IEEE.
  2. Zhang, H., Cai, L., Chen, H., Yin, B., & Chen, W. (2024). Exploring thermal effects of advanced backside power delivery network beyond 3 nm node. Microelectronics Journal, 153, 106440.
  3. Sisto, G., Chehab, B., Genneret, B., Baert, R., Chen, R., Weckx, P., … & Milojevic, D. (2021, July). IR-drop analysis of hybrid bonded 3D-ICs with backside power delivery and μ-& n-TSVs. In 2021 IEEE International Interconnect Technology Conference (IITC) (pp. 1-3). IEEE.
  4. Hafez, W., Agnihotri, P., Asoro, M., Aykol, M., Bains, B., Bambery, R., … & Natarajan, S. (2023, June). Intel PowerVia technology: Backside power delivery for high density and high-performance computing. In 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) (pp. 1-2). IEEE.
  5. Zhao, P., Witters, L., Jourdain, A., Stucchi, M., Jourdan, N., Maes, J. W., … & Beyne, E. (2024). Backside Power Delivery with relaxed overlay for backside patterning using extreme wafer thinning and Molybdenum-filled slit nano Through Silicon Vias. IEEE Transactions on Electron Devices.
  6. Marks, M. R., Hassan, Z., & Cheong, K. Y. (2015). Ultrathin wafer pre-assembly and assembly process technologies: A review. Critical Reviews in Solid State and Materials Sciences, 40(5), pp. 251-290.
  7. Khan, N. H., Alam, S. M., & Hassoun, S. (2010). Power delivery design for 3-D ICs using different through-silicon via (TSV) technologies. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(4), pp. 647-658.
  8. Lyu, S., Beechem, T. E., & Wei, T. (2024, May). Thermo-Mechanical Reliability Analysis and Raman Spectroscopy Characterization of Sub-micron Through Silicon Vias (TSVs) for Backside Power Delivery in 3D Interconnects. In 2024 IEEE 74th Electronic Components and Technology Conference (ECTC) (pp. 834-841). IEEE.
  9. Yang, J., Chen, K., Wang, D., Liu, T., Sun, X., Wang, Q., … & Zhang, D. W. (2023). Impact of Stress and Dimension on Nanosheet Deformation during Channel Release of Gate-All-Around Device. Micromachines, 14(3), 611.
  10. Chan, V., Rim, K., Ieong, M., Yang, S., Malik, R., Teh, Y. W., & Yang, M. (2005, September). Strain for CMOS performance improvement. In Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005. (pp. 667-674). IEEE.
  11. Xie, F., Chen, R., & Wei, T. (2024, May). Thermal Mitigation Strategy for Backside Power Delivery Network. In 2024 IEEE 74th Electronic Components and Technology Conference (ECTC) (pp. 1485-1492). IEEE.
  12. Hargrove, M., Wen, S., Yim, D., Ruegger, K. E., Nanja, P., Sarkar, S., … & Fried, D. (2023). Review of virtual wafer process modeling and metrology for advanced technology development. Journal of Micro/Nanopatterning, Materials, and Metrology, 22(3), 031209-031209.
  13. Wang, Q., Sarkar, S., Oh T., “A Novel Self-Aligned Backside Contact Architecture for Advanced Logic Nodes,” SSDM JP (2024). https://doi.org/10.7567/SSDM.2024.PS-01-01.
  14. Sarkar, S., Wang, Q., Oh T., Wen, S., Chakarov, I., Lowe, B., Vincent, B., “Stress Evolution Analysis of Gate-All-Around Transistors: Frontside and Backside Integration Approaches,” SPIE 2025.

 



Leave a Reply


(Note: This name will be displayed publicly)