Waiting For Next-Gen Metrology

Research is underway to supplement or replace existing equipment at 10nm and beyond.

popularity

Chipmakers continue to march down the various process nodes, but the industry will require new breakthroughs to extend IC scaling at 10nm and beyond.

In fact, the industry will require innovations in at least two main areas—patterning and the . There are other areas of concern, but one technology is quickly rising near the top of the list—metrology.

Metrology, the science of measuring and characterizing tiny structures and materials, is becoming more complex and expensive at each node. Today’s metrology tools are capable of measuring structures in two dimensions, and in three dimensions to some degree, but that’s not nearly enough for the complexity of today’s and finFET devices. And it’s unclear if existing tools can meet the stringent requirements for future devices at 10nm and beyond.

In response, the industry has been developing several next-generation metrology technologies. The big focus has been in three areas—helium-ion microscopy; multi-beam e-beam inspection; and X-ray scattering. In addition, the industry is working on other technologies, based on atomic force microscopy (AFM), hybrid metrology, transmission electron microscopy (TEM), among others.

Generally, though, the progress has been slow in the next-generation metrology field. Many of these futuristic tool technologies will remain in R&D for the foreseeable future. And some may never escape from the lab.

Finding the R&D dollars to fund these tool technologies is another problem. “We need more investment from both customers and suppliers to add more innovation,” said Alok Vaid, deputy director and senior manager of advanced module engineering at GlobalFoundries. “It’s not that there isn’t innovation. Most of it is incremental innovation. We need revolutionary innovation, but this doesn’t happen because (inspection and metrology) are generally under-budgeted and perceived as non-value-added.”

So the questions are clear. What’s the status of the various next-generation metrology technologies? And what’s the back-up plan if these technologies never escape from the lab? Here’s a look at the metrology landscape:

Atomic Force Microscopy (AFM)
For years, AFM has been used in the IC and other industries. “In AFM, you have a probe or a needle,” said Keibock Lee, president of Park Systems, a supplier of AFM tools. “You trace the surface and it records the X and Y coordinates. Then, it goes up and down. And so you know the Z coordinates. So then, you have a 3D topology.”

AFM enables lateral resolutions down to 1nm. “For Z, or height resolution, we are doing less than 0.5 angstroms. That means less than 0.05nm,” Lee said.

AFM, however, is slow in terms of throughput. And until recently chipmakers were somewhat sour on AFM, as the technology failed to live up to its hype.

Suddenly, though, there is renewed interest in AFM. In fact, the momentum is building for Park Systems’ 3D AFM technology, which is based on a non-contact technique. In addition, this tool can be tilted at angles up to 38 degrees. “Initially, it was used in the hard disk industry,” Lee said. “For data storage, our customers are using it to measure the read/write pole of a hard disk, where the height, undercut angle, and shape are critical for quality assurance.”

IC makers are beginning to embrace 3D AFM technology for 3D NAND and finFETs. And recently, Park Systems and Imec formed a partnership to develop a next-generation, in-line AFM metrology solution. Time will tell if 3D AFM can do the trick.

CD-SAXS
For years, chipmakers have used metrology tools based on optical CD (OCD) techniques. The knock on OCD is that chipmakers must develop complex and time-consuming models. Developing in-die metrology targets for OCD is also an issue.

So, for some time, the industry has been working on a possible replacement for OCD—X-ray scattering. There are various flavors of X-ray scattering, including CD small-angle X-ray scattering (CD-SAXS).

“CD-SAXS measures the average shape of periodic nanostructures, edge roughness and pitch walking,” said Joseph Kline, a materials engineer at the National Institute of Standards and Technology (NIST). “The contrast is based on electron density. So it is unaffected by size dependent changes in optical properties. The wavelengths used are sub-angstrom. So next-generation length scales are not a problem.”

Despite years in R&D, CD-SAXS is having mixed results. “Multiple semiconductor manufacturers and metrology vendors are evaluating CD-SAXS to varying degrees,” Kline said. “Some companies are using variants of CD-SAXS for memory applications.”

CD-SAXS still suffers from the same old problem. “The biggest problem with the X-ray tools, especially CD-SAXS, is the light source,” GlobalFoundries’ Vaid. “It’s the same thing as EUV. CD-SAXS uses an X-ray source and they are low in power. That means you have a very slow throughput solution. Because you have limited power, that means you have a limited spot size to get a signal out of it.”

Today’s CD-SAXS systems are based on a rotating anode source, which is limited in terms of power. Another source technology, liquid-metal-jet, is promising but it is not ready yet.

In the future, CD-SAXS could be used in limited applications, but it won’t replace OCD anytime soon. Until CD-SAXS is viable, the industry must continue to extend OCD to future nodes. How will the industry extend OCD? “We will make our existing OCD tools more complex. We can also add more channels and angles,” Vaid said.

E-Beam Inspection
E-beam inspection is widely used in the wafer inspection flow, but the throughputs are slow. So, the industry is developing multi-beam e-beam inspection tools, which, in theory, have higher throughputs.

Besides wafer inspection, some would like to use multi-beam e-beam inspection tools in other areas. Photomask metrology is one example.

For metrology applications, mask makers currently use the traditional critical-dimension scanning electron microscope (CD-SEM). Generally, though, the CD-SEM is too slow. “If we could use a multiple beam inspection or imaging system as a high-throughput CD measurement system, that could be a good thing,” said Naoya Hayashi, a fellow at Dai Nippon Printing (DNP).

But don’t look for a multi-beam metrology tool for the mask industry anytime soon. Today, there are only a handful of mask makers that would buy one, or could even afford it. “That could take some innovation for someone to step up to do it,” said Aki Fujimura, chief executive at D2S.

But even for the wafer inspection market, there are challenges with multi-beam inspection technology. “E-beam provides high resolution, but electrons don’t like to get too close to each other. That’s one of the challenges of making a multi-beam or multi-column technology,” said Brian Trafas, chief marketing officer at KLA-Tencor.

Multi-beam e-beam inspection tools may appear in 2016. Until then, chipmakers must make do with the current techniques.

Helium-ion microscopy
For years, the CD-SEM has been the workhorse metrology tool in the fab. The CD-SEM uses a focused beam of electrons to generate signals at the surface of a structure.

At one time, the CD-SEM faced two challenges. First, there were fears that the tool might hit the wall at 10nm. Second, the CD-SEM images information in two dimensions, but it struggled to see data in three dimensions.

Looking to find a replacement for the CD-SEM, the industry has been looking at helium-ion microscopes. Helium-ion microscopy is promising in nanotechnology, but it has a major drawback in semiconductor applications: The ions can damage the surface of a structure.

So far, helium-ion has made little progress in metrology applications for ICs. So, the IC industry has moved away from helium-ion and turned its attention back to the CD-SEM.

In fact, CD-SEM suppliers have recently added new capabilities to the technology, namely tilt and backscattering. Tilt solves one problem. It allows the CD-SEM to image a device in three dimensions.

And contrary to popular belief, the CD-SEM continues to have legs. With its CD-SEM, Applied Materials has demonstrated the ability to image a futuristic gate-all-around nanowire device from IBM. “Everybody was worried that the CD-SEM will run out of steam at 10nm,” said Ofer Adan, global product manager at Applied Materials. “IBM, however, has measured the CDs down to 7nm with the CD-SEM.”

Still, the CD-SEM can’t make all of the measurements in devices. As before, chipmakers must use a number of other tools in fab, in addition to the CD-SEM.

Transmission electron microscopy (TEM)
A transmission electron microscope transmits a beam through a structure. The TEM has high resolution, but it is generally slow. So, the TEM is found in the lab and not in the production line.

FEI, for one, hopes to bring TEM from the lab to the fab. It is developing a fully automated, in-line TEM metrology system. “Thanks to the new TEM toolsets available, TEM data can be collected much faster and sufficient large amounts of data can be collected in a reasonable amount of time to evaluate line-edge roughness and line-width roughness,” said Hayley Johanesen, an applications development engineer at FEI, in a recent paper.

Like the CD-SEM, the TEM cannot provide all of the measurements. In the flow, chipmakers would still require an AFM, a CD-SEM and OCD.

Hybrid Metrology
In fact, there is no one tool that can handle all metrology needs for today’s 3D NAND, finFETs, or the next-generation transistor types.

So for some time, chipmakers have been implementing a technology called hybrid metrology. In this approach, chipmakers use a mix-and-match of several different tool technologies and then combine the data from each.

In one example, a finFET structure is measured by the CD-SEM, AFM or TEM. Then, the results are fed into an OCD tool to validate the model. “It’s a new technology that combines the strengths of each of them,” GlobalFoundries’ Vaid said.

The challenge is to put rival tools in the same flow and tell competitors to collaborate. The tide may be turning, however. Imec, along with Applied Materials, FEI and Nova, jointly presented a paper entitled, “CD Metrology for EUV Lithography and Etch.” The paper compared CD-SEM, OCD and TEM, but it also described a hybrid method to detect and measure litho-etch process variations. It’s a sign of things to come.



Leave a Reply


(Note: This name will be displayed publicly)