Week In Review: Design, Low Power

New memories; MediaTek’s Armv9 SoC; vertical transistors; TSMC certifications; vertical transport FETs.

popularity

Memory
CEA-Leti demonstrated 16-kbit ferroelectric random-access memory (FeRAM) arrays at the 130nm node. It utilizes back-end-of-line (BEOL) integration of TiN/HfO2:Si/TiN ferroelectric capacitors as small as 0.16 µm² and solder reflow compatibility for the first time for this type of memory. The researchers anticipate it will be useful for embedded applications such at IoT and wearable devices. “HfO2-based ferroelectric capacitors change the paradigm of FeRAM,” said Laurent Grenouillet, an author of the paper. “Unlike PZT-based ferroelectric memories, HfO2-based FeRAM are fully CMOS compatible, and scalable to advanced nodes, in part because HfO2-based ferroelectric films are very thin, typically 10nm thick. They are also lead-free, which is less detrimental to the environment.”

Floadia developed a prototype 7-bit-per-cell flash memory chip that can retain analog data for 10 years at 150 degrees Celsius. The company said it created a new memory cell structure and control method. Floadia plans to apply the memory technology to a low power AI chip utilizing a Computing in Memory architecture that stores neural network weights in non-volatile memory and executes a large number of multiply-accumulate calculations in parallel by passing current through the memory array. The memory technology is based on the company’s SONOS-type flash memory chips for integration into microcontrollers and other devices.

Samsung Electronics debuted a new line of automotive memory. The lineup includes a 256GB PCIe Gen3 NVMe ball grid array (BGA) SSD, 2GB GDDR6 DRAM, and 2GB DDR4 DRAM for high-performance infotainment systems, as well as 2GB GDDR6 DRAM and 128GB Universal Flash Storage (UFS) for autonomous driving systems. “With the recent proliferation of electric vehicles and the rapid advancement of infotainment and autonomous driving systems, the semiconductor automotive platform is facing a paradigm shift. What used to be a seven to eight-year replacement cycle is now being compressed into a three to four-year cycle, and at the same time, performance and capacity requirements are advancing to levels commonly found in servers,” said Jinman Han, executive vice president and head of Memory Global Sales & Marketing at Samsung Electronics.

IP & SoC
Design services firm SEMIFIVE acquired Hanatec, a provider of ASIC design services. The acquisition combines Hanatec’s design, turnkey, and platform services with SEMIFIVE’s SoC platform and ASIC capabilities for the Samsung Foundry SAFE ecosystem. “The acquisition of Hanatec, with its solid technology and customer base, enables SEMIFIVE to further accelerate its position as a global semiconductor company,” said Brandon Cho, CEO and founder of SEMIFIVE. “Customers are asking us to make more custom chips, and the addition of Hanatec’s team and capabilities makes us better equipped to execute our rapid growth strategy in addressing the market demand.”

Kandou announced volume production of its Matterhorn family of USB-C multiprotocol retimer solutions. Designed on TSMC’s N16 advanced FinFET technology, Matterhorn is a USB Type-C 40 Gbps retimer supporting multiple protocols including USB4, USB3.2, DisplayPort, and Thunderbolt. It supports long channels across inexpensive PCB materials with low power consumption.

Atomic Rules introduced a high performance PCIe host interface for Intel Agilex F-Series FPGAs. Atomic Rules said its Arkville data mover provides a high-throughput, low-latency conduit between host memory and FPGA fabric logic, offloading CPU core usage, eliminating memory copies, and improving overall efficiency for a variety of applications.

IoT, mobile, consumer
Infineon launched its new AIROC Bluetooth LE and 802.15.4 family to support smart home devices using a Matter network. The AIROC CYW30739 Bluetooth LE & 802.15.4 SoC features a low-power radio and supports applications that require extended battery life such as smart home, smart building, and smart lighting. “With the launch of the AIROC CYW30739 Bluetooth LE & 802.15.4 SoC, Infineon is entering the 802.15.4 market to deliver high-performing, low-power solutions with seamless, secure connectivity to enable more convenient, energy efficient smart homes,” said Sonal Chandrasekharan, vice president of the Bluetooth product line at Infineon.

Infineon also uncorked a new security solution for automotive wireless charging. Compliant with version 1.3 of the Wireless Power Consortium (WPC) Qi standard, OPTIGA Trust Charge automotive offers provisioning and revocation services as well as Qi 1.3-compliant cryptography features such as ECDSA, NIST-P256 and SHA-256. The solution is AEC-Q100 Grade 2 qualified and offers an in-field update function as well as support for up to four certificate chains.

MIPI Alliance published a major update to its MIPI M-PHY physical-layer interface. Version 5.0 of the M-PHY interface adds “High Speed Gear 5” (HS-G5) at 23.32 Gbps to double the potential data rate per lane compared with the previous specification. M-PHY v5.0 also responds to a range of other ecosystem requirements for connecting flash memory storage, such as changes to the JEDEC Universal Flash Storage (UFS) standard. MIPI M-PHY v5.0 is designed to support the forthcoming MIPI UniPro v2.0 and JEDEC UFS releases.

MediaTek used Arm’s Armv9 architecture for its new flagship SoC, the Dimensity 9000. It includes the Arm Cortex-X2 CPU alongside 3x Arm Cortex-A710 CPUs and 4x Arm Cortex-A510 CPUs, as well as a 10-core Arm Mali-G710 GPU, all optimized on TSMC 4nm. The SoC targets premium mobile devices that offer interactive AI-enabled use-cases and immersive AAA gaming experiences on mobile. Dimensity 9000 is the first chipset announced that adopts Armv9 Cortex CPUs.

Silex Insight will divest its video business to Audinate Group. It includes its AV over IP solutions, video compression technologies, and a team of engineers. Going forward, Silex Insight plans to solely focus on the development and supply of its Embedded Security IP solutions.

Silex Insight also optimized its TLS accelerator for Xilinx’s Versal platform. The block offloads the entirety of TLS authentication and public key exchange operations from an application’s main processors and memory.

Power devices & batteries
Infineon introduced the EiceDRIVER 1EDN71x6G HS 200V single-channel gate driver ICs family. The new product family is designed to enhance the performance of CoolGaN Schottky Gate (SG) HEMTs but is also compatible with other GaN HEMTs and Silicon MOSFETs. The gate drivers aim at a wide range of applications including DC-DC converters, motor drives, telecom, server, robots, drones, power tools, and class D audio amplifiers. 1EDN71x6G variants come with selectable pull-up and pull-down driving strengths for waveform and switching speed optimization without the need for gate resistors.

Siemens and LG Energy Solution inked a deal to increase cooperation in the field of battery manufacturing, particularly the digitization of production process. The partnerships’ first line of collaboration will be realized at Ultium Cells LLC, a joint venture of LG Energy Solution and General Motors, which is expected to begin production in 2023. The companies will also collaborate on building a digital twin roadmap and training programs.

Device architectures
IBM and Samsung Electronics developed a vertical transistor architecture that the companies said demonstrates a path to scaling beyond nanosheet FETs. The new design aims to deliver a two times improvement in performance or an 85% reduction in energy use as compared to scaled finFET alternatives. Called a Vertical Transport Field Effect Transistor, or VTFET, it is built perpendicular to the surface of the chip with a vertical, or up-and-down, current flow.

At IEDM, Intel outlined its roadmap for advanced devices with a plan to increase interconnect density in packaging by 10x with hybrid bonding, 30% to 50% area improvement in transistor scaling, and new power and memory technologies. The technologies the company sees as driving these improvements include strained silicon, Hi-K metal gates, finFET transistors, gate-all-around RibbonFET, silicon transistor-based quantum computing, and packaging including EMIB and Foveros Direct.

Tools
Synopsys’ SiliconSmart library characterization solution was certified by TSMC for its N5, N4, and N3 process technologies. The SiliconSmart library characterization core engine addresses various process, voltage and temperature (PVT) combinations for advanced-node designs needs. “Certification of the SiliconSmart library characterization solution on our latest process technologies will provide our mutual customers with high confidence of achieving signoff accuracy and faster time-to-market through an accelerated path for TSMC N5, N4 and N3-based designs,” said Suk Lee, vice president of the Design Infrastructure Management Division at TSMC.

Siemens Digital Industries Software‘s mPower solution for power integrity analysis of analog, digital, and mixed-signal IC designs was certified for TSMC’s N7 and N5 process technologies. “This joint effort combining Siemens’ new mPower solution and TSMC’s N7 and N5 processes will enable customers to take full advantage of the power and performance improvements of TSMC’s advanced technologies to accelerate innovation for their differentiated products,” said Suk Lee, vice president of the Design Infrastructure Management Division at TSMC.

Butterfly Network deployed the Cadence Clarity 3D Solver for the design of its handheld, single-probe, whole-body ultrasound system. The Clarity 3D Solver was used as part of Butterfly’s system design and analysis workflow, and the company said it was able to achieve a 5X speedup in advanced model characterization with gold-standard accuracy compared to an alternative solution. “We build advanced assessment technology to unlock clinical information to enable better diagnosis and treatment decisions,” said Nevada Sanchez, co-founder and engineering VP of Butterfly Network. “The Cadence front-to-back system design and analysis flow enables our engineers to identify design problems quickly and accurately and, more importantly, allows us to get products to the field sooner.”

Solar fuel startup Synhelion used Ansys’ computational fluid dynamics (CFD) and finite element analysis (FEA) simulation software in developing systems that use high-temperature solar heat to convert carbon dioxide and water into synthetic fuels such as solar gasoline, diesel, or jet fuel that are compatible with conventional internal combustion engines and aircraft turbines.

People
Anirudh Devgan, president and CEO of Cadence, was selected to receive the 2021 Phil Kaufman Award for Distinguished Contributions to Electronic System Design for his contributions to parallel and distributed computing as well as impact in fields ranging from circuit simulation to statistical design and optimization, physical design and signoff, verification and hardware platforms, and system design and analysis. “I know of hardly anyone in EDA who has that winning combination of bold vision and deep technical know-how, along with outstanding leadership and organizational skills. Leveraging these unique abilities, Anirudh has been able to constantly innovate in a mature field like EDA and bring to market a sequence of game-changing products that have delivered transformational business results,” said Alberto Sangiovanni Vincentelli, Chair of EECS, University of California, Berkeley and a Cadence founder.



Leave a Reply


(Note: This name will be displayed publicly)