Week In Review: Semiconductor Manufacturing, Test

Exports of fab equipment to China plunge; U.S. and Canada chip corridor; memory prices continue falling; U.S. semiconductor ecosystem map; Synopsys launches Synopsys.ai; first integrated silicon and ECRAM device for AI; new 2D/CMOS device; Gordon Moore dead at 94.

popularity

The U.S. Commerce Department outlined proposed rules for the Chips for America Incentives Program, including additional details on national security measures applicable to the CHIPS Incentives Program included in the CHIPS and Science Act. The rules limit funding recipients from investing in the expansion of semiconductor manufacturing in foreign countries of concern, notably the People’s Republic of China (PRC), Russia, Iran, and North Korea.

Exports of semiconductor-manufacturing equipment to China from the U.S. fell dramatically in 2022 as the U.S. stepped up its trade restrictions on advanced chip technology, according to Nikkei Asia. In Q4, year-over-year Japanese exports of such equipment to China slid 16%, while the U.S. saw a 50% plunge and the Netherlands logged a 44% drop.

Brazil will seek Chinese technology and investment to develop a semiconductor industry in the South American country, despite U.S. attempts to discourage association with China in this area, reports Reuters.

The U.S. and Canada announced plans to develop a bilateral semiconductor manufacturing corridor, beginning with IBM’s expansion of its packaging and test facilities in Quebec. “The United States will probably be investing billions of dollars in packaging semiconductors in Canada,” said President Joe Biden.

The U.S. Department of Commerce released pre-application and application instructions, guidebooks, and other resources for the first CHIPS for America funding opportunity for leading-edge, current-generation, mature-node, and back-end semiconductor fabrication facilities.

Oregon plans to alter its restrictive land-use laws and offer up to $200 million in incentives to encourage chipmakers to bring more semiconductor factories to the state, reports AP.

IBM and Fundación Ikerbasque, the Basque Foundation for Science in the Basque Country of Spain, announced plans to develop a quantum computational center in the Basque region.

The Welsh Government announced it is working with KLA to support plans to expand its operations in Newport, South Wales, as part of an already announced $100 million investment in semiconductor manufacturing and research.

The average selling price (ASP) of NAND flash products will continue to fall 5% to 10% in the second quarter of 2023, but may recover in the fourth quarter of  this year, according to a new report by Trendforce. Meanwhile, the decline in ASP of DRAM narrowed 10% to 15% in the second quarter with “no end in sight.”

Overall chip sales, however, rose in 2022 by 3.3% over 2021 according to the Semiconductor Industry Association (SIA). Global semiconductors reached an all-time high of $579 billion last year, driven by auto, industrial and consumer markets.

SIA also released a new U.S. Semiconductor Ecosystems Map that allows users to explore industry activities across the country. The map is comprised of semiconductor manufacturing, chip design, intellectual property and chip design software providers, semiconductor materials and manufacturing equipment, and research and development, including university R&D partners of the Semiconductor Research Corporation (SRC) and the National Nanotechnology Coordinated Infrastructure (NNCI).

Yole Group released a report predicting steady growth of next-gen fan-out packaging revenue of 12.5% CAGR over the next five years, reaching $3.8 billion in 2028.

After a slowdown in 2023, SEMI predicts an all-time high of 9.6 million wafers per month for global 300mm semiconductor fab capacity by 2026.

TEL was selected for the fifth consecutive year by the 2023 Certified Health & Productivity Management Outstanding Organizations Recognition Program, a program run by the Ministry of Economy, Trade, and Industry (METI) and the Nippon Kenko Kaigi.

Amkor Technology is now using silicon carbide in automotive power packaging as SiC becomes the material of choice in automotive EV and industrial power applications. The OSAT is incorporating high-volume SiC dicing, heavy gauge wire bonding, copper clip, and burn-in services that are qualified to meet automotive reliability requirements.

Products/Technology

Renesas introduced the first RISC-V MCU designed for voice-controlled human-machine interface (HMI) systems. The R9A06G150 32-bit ASSP provides a production-ready voice-control system solution that eliminates the need for RISC-V tools and upfront software investment for HMI.

Synopsys launched Synopsys.ai, a full-stack, AI driven EDA suite for the design, verification, testing, and manufacturing of advanced digital and analog chips.

The safety and performance of lithium-ion batteries can be improved with a new battery management system (BMS) controller from STMicroelectronics. The L9961 BMS is suitable for battery packs up to 25V and offers monitoring, balancing, and protection of batteries.

Toshiba began shipping a 150V N-channel power MOSFET for switching power supplies of industrial equipment, such as that used in data centers and communications base stations.

Samsung Semiconductor showcased its latest memory solutions for AI computing at MemCon 2023 including HBM-PIM and CXL-based PNM that support hyper-scale AI models, SmartSSD, Memory Semantic SSD, and Memory Expanders.

UMC and eMemory expanded low-power memory solutions for the AIoT and mobile markets with the announcement that eMemory’s Resistive Random Access Memory (RRAM) IP has been qualified on UMC’s 22nm ultra-low power process. The two companies are also jointly developing new RRAM solutions for the automatic market.

Research

Researchers at the University of Illinois’ Grainger College of Engineering achieved the first silicon integrated electrochemical random access memory (ECRAM) for practical AI accelerators.

A team of scientists at King Abdullah University of Science and Technology (KAUST) successfully integrated 2D materials made of hexagonal boron nitride into CMOS chips. The resulting 2D/CMOS devices exhibit high durability and special electronic properties that enable the fabrication of artificial neural networks with very low power consumption.

In two new studies, researchers at the National Institute of Standards and Technology (NIST) significantly improved the efficiency and power output of chip-scale nanophotometers that can produce multiple wavelengths of light from a single laser input. The researchers improved laser efficiency from around 1% to nearly 30%, and the converted light possessed more than 1 mW of power across a range of frequencies — paving the way for single-laser solutions for atom-based quantum computation.

People

Gordon Moore, the co-founder of Intel whose observation about doubling the density of transistors every couple years became known as Moore’s Law, passed away at the age of 94.

Further reading

See our Manufacturing, Packaging and Materials newsletter for these feature articles:

  • Tech Forecast: Fab Processes To Watch Through 2040
  • Getting Smarter About Tool Maintenance
  • New Challenges Emerge With High-NA EUV

Read our March Test, Measurement & Analytics newsletter for these highlights and more:

  • Metrology Strategies for 2nm Processes
  • Test Challenges Mount as Demands for Reliability Increase
  • Standards: The Next Step for Silicon Photonics

Upcoming events in the chip industry:

  • User2User 2023: Electronic design community to share their real-world experiences using Siemens EDA tools, April 13 (Santa Clara, CA)
  • Design, Automation and Test in Europe Conference, April 17 – 19 (Antwerp, Belgium)
  • 2023 CMC Conference: Critical Materials Council Conference, April 18 – 20 (Austin, TX)



Leave a Reply


(Note: This name will be displayed publicly)