EUV Resists Move Forward

Promising results from critical areas.

popularity

Improvements in EUV exposure sources and exposure tools are shifting the industry’s focus to other components of the lithography process.

As noted last year, one of the key areas is photoresists. But advanced photoresists face significant challenges, due to the need to balance sensitivity, etch selectivity, and resolution. This year’s SPIE Advanced Lithography conference featured promising results in a number of critical areas.

According to Geert Vandenberghe, Imec’s manager of exploratory patterning materials, Inpria’s metal oxide-based resist achieved an important milestone. Fabs have been wary of metal-containing resists because of the potential for contamination, but marathon testing of several hundred wafers at Imec found no contamination of either the lithography process cell or associated etch and rework equipment. While this result is essential for eventual adoption of these materials in manufacturing, in the near term it also opens the door for larger scale development and process integration tests.

The work at Imec also integrated Inpria’s resist into a metal layer process for the 7nm node. After creation of lines and spaces with a 193nm immersion self-assembled quadruple patterning (SAQP) process, an EUV block mask creates freestanding metal pillars. The block mask step is well-suited to Inpria’s negative tone resist because image flare is still a concern for EUV exposures.

With a negative tone resist, the “dark” areas of the mask are removed by the developer, while the “light”areas become insoluble. Thus, an array of pillars can be created with a dark field mask, minimizing flare. As Vandenberghe pointed out, though, contact layers pose the opposite problem: many dark holes in a mostly bright exposure field.

Etch resistance and EUV absorbance are both enhanced by the addition of metal. Indeed, as lithographers come to accept the idea of metal-containing resists, companies like JSR are adding metal sensitizers to chemically amplified resists, as well. With Inpria’s formulation, Vandenberghe said, resist thickness for the 7nm block mask could be cut from 40nm to 18nm (for a 20nm thick metal layer), substantially reducing the risk of pattern collapse.

Inpria CEO Andrew Grenville said the company has recently demonstrated resists with exposure dose below 20 mJ/cm2 at 16 to 18nm half-pitch, and is beginning to scale production up to multi-gallon quantities of resist. Formulations are still being optimized, but multi-gallon quantities are enough for manufacturers to begin to develop reference processes. Just in time, too, as the industry consensus is beginning to say that EUV will be a “must have” for leading-edge production in the 2018 to 2019 timeframe.



Leave a Reply


(Note: This name will be displayed publicly)