Executive Insight: Elmar Platzgummer

IMS Nanofabrication’s top exec sounds off on multi-beam e-beam, the limitations of EUV and direct-write, and when all of this technology will kick in and why.

popularity

Semiconductor Engineering sat down to discuss photomask and lithography trends with Elmar Platzgummer, chief executive of IMS Nanofabrication, an Austrian-based supplier of multi-beam e-beam tools for mask writing applications.

SE: IMS has shipped the world’s first multi-beam e-beam system. Initially targeted for photomask writing, the tools are currently being tested in the field. How long did it take to develop this technology?

Platzgummer: It has been a long road. I remember making a presentation in 2008. We started off with power point slides and talked about a proof of concept tool. Now, we have demonstrated the tool.

SE: Why didn’t IMS present any data about the new tools at the recent SPIE Photomask conference in September?

Platzgummer: This was not the right time for us. But we plan to show results for the new tool. It will mostly likely be at SPIE or the next conference.

SE: IMS and JEOL are collaborating to develop the multi-beam e-beam tools. What’s the status of the tool integration process with JEOL?

Platzgummer: It’s going better than expected. There are no setbacks. JEOL has supplied the platform and stage. Their technology has been customized to work with our multi-beam and write-engine technology. Our multi-beam technology is a 256K beam system. In fact, it’s 512 by 512 beams.

SE: What’s next?

Platzgummer: We will deliver one upgrade next year to get the final productivity. But there are no architectural or major changes required for the system anymore. Our system is very stable. We are not yet on the market, however. So we need to convince customers that our technology has the necessary uptime and other issues.

SE: What does this tool bring to the party?

Platzgummer: It enables more complex patterns and photomasks. It keeps the write times down to a few hours or to at least a half day, and not a full day or more as we are seeing with today’s single-beam e-beam systems. On top of the increase of shot counts for today’s masks, we need to go to higher doses. Our tool enables higher doses.

SE: Will IMS’ multi-beam tool be ready for 10nm or 7nm masks?

Platzgummer: At 10nm, our technology will bring value. But the specifications in the system are set and optimized for 7nm.

SE: Will multi-beam mask writers replace today’s single-beam e-beam tools?

Platzgummer: I don’t see VSB disappearing. But once multi-beam is established at customer sites, they will reduce their usage of VSB to lower pattern densities.

SE: What else can you say about the IMS tool?

Platzgummer: It shows that multi-beam can work. And, of course, there are other interesting applications out there if multi-beam can work. Direct-write is one example. But direct-write is still a ways out. The challenges are enormous, but it still could be done.

SE: Can IMS develop a direct-write lithography tool with its current technology?

Platzgummer: It could take a tremendous amount of work to go from where we are today to a direct-write tool. But for mask writing, we are quite advanced.

SE: What does your mask-writing tool bring to the multiple patterning era?

Platzgummer: In multiple patterning, you need more masks. You will also need more sophisticated masks. In that sense, a multi-beam mask writer can help write pixilated masks or computationally intensive inverse lithography masks. So if EUV continues to be delayed, and the industry extends optical, we can make an important contribution.

SE: What about EUV?

Platzgummer: If EUV comes, the masks will be complex patterns with higher densities. With EUV, you need various types of corrections on the EUV pattern. You could use a multi-beam writer. But mask writing is not the big problem with EUV. EUV has many other issues, like the exposure tool, source, mask supply chain and materials.

SE: ASML is developing an anamorphic lens to extend EUV. By definition, an anamorphic lens produces unequal magnifications along two axes perpendicular to each other. In EUV, the anamorphic lens would support 0.5 to 0.6 NAs. With the technology, the photomask industry could still make use of today’s 6-inch masks. How would that impact the mask industry?

Platzgummer: It sounds interesting, but it is also complex. It’s very difficult to talk about the next generation if the current generation is still in R&D. The industry would like to have an EUV solution for the current generation first.

SE: NuFlare, the leading supplier of single-beam e-beam tools, is developing a multi-beam system for mask production. Any comment on NuFlare’s multi-beam e-beam efforts?

Platzgummer: I am not familiar with their program at all. We assume they are working on it. We are waiting for their first specs to show up in future conferences. But we should have a time-to-market advantage over them.

SE: Let’s go back to multi-beam for direct-write lithography applications. Any more thoughts?

Platzgummer: We have ideas how to migrate our existing architecture to a direct-write architecture. It’s more or less staying with the exact same concept, where you treat hundreds of thousands of beams as one beam. So from the optics side, it’s quite similar. The complexity comes from the density and number of beams and how they are switched. But that’s not enough. You need several axes or columns that operate in parallel. And eventually, you will need several systems or clusters in an array to get to what the industry wants, which could be 200 wafers an hour. Even 100 wafers an hour is very challenging. If it’s possible to reduce the throughputs a little bit, it would make it much easier.

SE: There are several companies that are developing multi-beam e-beam technologies for direct-write lithography applications. Any thoughts on those efforts in the market?

Platzgummer: If you think of the expected throughput of 100 wafers an hour, I don’t see a plausible approach today. The gap between the current status and where we need to be in three years is too big. There are also too many question marks whether it can work at all. There are several sets of showstoppers. Can our scheme be applied to direct-write? Yes. But still, it’s not a safe bet whether you can make it an economically viable tool in the end.

SE: Will IMS ever develop a tool for direct-write lithography applications?

Platzgummer: We sense a very strong interest from the industry. So we have some low-level communications with interested parties. But right now, IMS’ focus is 100% on mask writing. If we are successful in creating a mask writing business in two years, then we can think about the next step. Direct-write is a big step. For this, we are thinking about working in a large consortium with very strong partners. That can’t be enabled by a small Austrian company, which still has a lot to accomplish in the mask writing business.

SE: What’s next for IMS? Is the company looking at any acquisitions or an IPO?

Platzgummer: We are preparing to roll out our first mask writer to create a revenue stream. So we are building our mask writing business. We need strong strategic partners for that. And then, we will see. An IPO is of interest at some point, but the question is where and when? Regarding acquisitions, you can’t plan for that. We are not driving towards that direction now. We are focusing on our technology and creating value.



Leave a Reply


(Note: This name will be displayed publicly)