2022 Survey: Luminaries Report Positive EUV Impact On Mask Trends


The eBeam Initiatives 11th Annual Luminaries Survey from July 2022 shows • EUV viewed as a positive impact for mask revenue • EUV remains the top reason for purchasing multi-beam mask writers • Confidence remains high in ability to make curvilinear masks with availability of multi-beam mask writers less of an issue this year Click here to read the survey results. » read more

Survey: eBeam Initiative Luminaries (formerly Perceptions) Survey Results


Survey of 77 industry luminaries across 42 different companies in July 2020 says net neutral COVID-19 business impact by 2021, with 24% positive vs 20% negative predictions. Click here to view the survey results. » read more

2019-2020 Mask Maker Survey Results


The survey results of the 2019-2020 Mask Maker Survey from the eBeam Initiative. • Multi-Beam and EUV Trends Becoming Visible • 558,834 masks reported by 10 different companies than last year • Masks written with Multi-Beam Mask Writers more than doubled • EUV mask yield reported at 91% • MPC usage increasing at leading edge nodes Click here to see the presentation. » read more

eBeam Initiative Surveys Report Upbeat Photomask Market Outlook


Every year, the eBeam Initiative conducts surveys that provide valuable insight into the key trends that are shaping the semiconductor industry. This year, industry luminaries representing 42 companies from across the semiconductor ecosystem participated in the 2020 eBeam Initiative Luminaries survey. 89% of respondents to the survey predict that photomask (mask) revenues in 2020 will stay the ... » read more

Manufacturing Bits: Feb. 5


Multi-beam litho shakeout The multi-beam e-beam market for lithography applications continues to undergo a shakeout amid technical roadblocks and other issues. Last week, ASML announced that it had acquired the intellectual-property (IP) assets of Mapper Lithography, a Dutch supplier of multi-beam e-beam tools for lithography applications that fell into bankruptcy late last year. As it t... » read more

2018 eBeam Initiative Perceptions Survey Results


EUV confidence and multi-beam remains high in the 7th Annual Perceptions Survey – 2018 (July). EUV perceptions remain positive. 82% of respondents predict EUV in HVM by end of 2021. Only 1% predict EUV will never happen. Confidence is high again for EUV lithography in high-volume manufacturing and expectations continue to grow around actinic mask inspection for EUV. Perceptions on the nee... » read more

Survey: EUV Optimism Grows


The confidence level remains high for extreme ultraviolet (EUV) lithography, although the timing of the insertion remains a moving target, according to a new survey released by the eBeam Initiative. At the same time, the outlook for the overall photomask industry is bullish, according to the survey. On the downside, however, there appears to be no progress in terms of improving mask turnaro... » read more

More Lithography/Mask Challenges (Part 2)


Semiconductor Engineering sat down to discuss lithography and photomask technologies with Gregory McIntyre, director of the Advanced Patterning Department at [getentity id="22217" e_name="Imec"]; Harry Levinson, senior fellow and senior director of technology research at [getentity id="22819" comment="GlobalFoundries"]; Regina Freed, managing director of patterning technology at [getentity id="... » read more

Next-Gen Mask Writer Race Begins


Competition is heating up in the mask writer equipment business as two vendors—Intel/IMS and NuFlare—vie for position in the new and emerging multi-beam tool segment. Last year, Intel surprised the industry by acquiring IMS Nanofabrication, a multi-beam e-beam mask writer equipment vendor. Also last year, IMS, now part of Intel, began shipping the world’s first multi-beam mask writer f... » read more

Survey: Optimism Grows for EUV


The optimism is growing for extreme ultraviolet (EUV) lithography in the market, according to a pair of new surveys released by the eBeam Initiative, which also revealed some new and surprising data about mask writing tools and other photomask technology. In one of the surveys from the [getentity id="22818" e_name="eBeam Initiative"], respondents revealed that they are more optimistic than e... » read more

← Older posts