E-beam’s Role Grows For Detecting IC Defects

Basic applications and new approaches combine to speed up semiconductor inspection.

popularity

The perpetual march toward smaller features, coupled with growing demand for better reliability over longer chip lifetimes, has elevated inspection from a relatively obscure but necessary technology into one of the most critical tools in fab and packaging houses.

For years, inspection had been framed as a battle between e-beam and optical microscopy. Increasingly, though, other types of inspection that were considered too slow or too costly are being added into the mix.

In general, optical remains the workhorse because of its high throughput, and is likely to remain so for years to come. But it is being supplemented by e-beam for R&D and failure analysis, and technology such as atomic-force microscopy (AFM) for looking deep into chips. “They are complementary applications, not competing applications,” said Bob Johnson, an analyst at Gartner.

Still as transistor densities increase and devices become more heterogeneous, the role of e-beam is growing. “Defects reported by our broadband plasma optical inspectors are reviewed on an e-beam tool to identify the defect type captured,” said Satya Kurada, vice president of marketing at KLA.


Fig. 1: E-beam inspection of potential defects. Source: KLA

There are now several different kinds of e-beams in the market, each evolving to better balance speed, resolution, and specific application. What the industry calls e-beam is actually a catch-all term for several types of electron microscopy. Below the Abbe diffraction limit of about 200nm, optical microscopes essentially suffer from astigmatism. What should be an edge appears as a blur, making it impossible to distinguish one object from another. And while super-resolution optical microscopy can resolve below the Abbe limit, it’s generally not used in industrial settings due to its complexity.

Electron microscopes are based on a key insight from Louis deBroglie: “A beam of electrons traveling in a vacuum behaves as a form of radiation of very short wavelength.”[1] Due to these shorter wavelengths, electron microscopes can resolve to about 0.1 nm, making them the ideal instruments to image leading-edge nodes.[2]

In addition, and of crucial importance, electron beams can penetrate to the bottom of the deep trenches of 3D architectures. For both those reasons, some experts predict that one day e-beam will completely replace optical for inspection. However, that may depend on the industry’s ability to solve cost and throughput issues. Top-of-the line optical microscopes generally cost tens to hundreds of thousands of of dollars, while industrial electron microscopes can easily cost more than $1 million.

Most e-beam systems are scanning electron microscopes (SEMs), which use a raster technique. The beam goes back and forth over the object to build up an image. But if all you need to know is die yield, that approach takes too long and provides too many superfluous details. As a result, inspection for yield has stayed the domain of optical microscopes, which costs less and can image large areas much more quickly. The exception is critical-dimension SEMs for metrology.

The workhorse e-beam technology is SEM. And while Nobel prizes and numerous patents have been awarded for this technology, the structure of an electron microscope is so basic you can make one at home. There are even instructions for “DIY electron microscopes” on YouTube.

To start, you need a vacuum column to prevent arcing and decrease resistance. A properly maintained vacuum column is essential. Then you need a source for electrons, called a Field Emission Gun (FEG), with a tungsten filament as a cathode. The beam runs to an anode plate. Then, just as you would in an optical microscope, you condense the light. But in an electron microscope, that’s done with copper coils and electric currents, rather than glass lenses. Thus, a spreading column of electrons is “pushed” into a narrow focused point, which is further refined by a second set of lenses to a fine point above the sample, electromagnets then pull that point back and forth, rasterizing over the sample.

But those are just the basic mechanics. What’s critical to what knowledge you gain is how the electrons are detected, whether through backscattering or as secondary electrons. Backscattered electrons are reflected back after elastic interactions — in which the internal states of particles are not changed — between the beam and the sample. They come from deeper regions in the sample.

Secondary electrons originate from the atoms of the sample, as a result of inelastic interactions (the internal state of the particles has changed) between the electron beam and the sample. They show more details of the surface.[3]

While the SEM’s rasterizing technique creates a detailed image, the slowness of its back-and-forth precision has restricted where it is used. “A fab manager once estimated that to scan a 1cm² die with an e-beam could take close to a week,” said Johnson. “If you extrapolate from that estimate, you couldn’t do a full area scan with an e-beam on a 300mm wafer between now and doomsday. But what you would get are some very good pictures.”

And therein lies the reason why e-beam technology continues to be used sparingly. “If you want to catch the defects in the fabrication line at the advanced nodes, like 7nm or 5nm, you have to be inspecting billions of structures,” said Andrzej Strojwas, CTO of PDF Solutions. “And if you want to do it in-line, leading-edge fabs are going to give you a little less than two hours because they cannot hold the wafer for more than two hours in between the process steps.”

This is why why the industry has generally settled on using e-beam for initial R&D, optical microscopes for in-line inspection, and e-beams again for offline inspection and failure analysis. However, since e-beams also enable voltage contrast inspection, they are used in-line in circumstances when only a voltage clamp can detect defects, such as the extremely deep features of a 3D NAND memory channel hole.

“We have systems shipped to production fabs,” said Gary Zhang, vice president of HMI Product Management at ASML. “They run a number of e-beam systems for voltage contrast inspections. And that is true for both memory and logic customers.”

Vector scanning
E-beam manufacturers still hope to expand their market by offering instruments that can provide faster inspections. For one example, PDF is aiming for “true in-line inspection,” said Strojwas. “We want the wafers to continue on to the next processing steps.”

Unlike a standard SEM, or other e-beam inspection hardware, PDF uses a vector scan approach to home in on individual product structures, like vias or contacts, rather than raster scanning an entire wafer. A large component of its solution is a layout extraction tool and analytical software, which acts as a guidance system. So while optical scanning is faster, it lacks the resolution of e-beam. And because it does not detect true electrical failures, it can turn up a lot of defects that don’t ultimately affect performance. Thus, the speed benefit of optical is undercut by the time it takes a review SEM station to double-check every defect it has flagged.

PDF’s eProbe has low landing energy —how much energy individual electrons receive in the beam — which may be critical to its acceptance as an in-line tool because there is much concern about how much energy product structures can take without degradation.

“The key for the e-beam inspection is throughput,” says Strojwas. “You cannot afford to spend a lot of time on the structure on which you’re shining the e-beam. But it’s also important that you do not use very high landing energies (which would give a clearer picture in less time) because that will cause damage in the structures that you are inspecting.” Their solution, says Strojwas, is to measure secondary electrons, because generating backscattering electrons takes much higher energy. “The typical energies that we are using is 500 electron-volts (eV). If you want to deal with the backscattering electrons, you actually are looking at the energies of approximately 20 to 50 keV.”

These are key metrics for inspection. For example, using landing energy up to 30 keV, KLA has demonstrated defect detection up to 6 microns deep in 100nm-across structures.


Fig. 2: Different intensity for different resolution and area. Source: KLA

Multi-beam e-beam
For years, the industry looked forward to a multi-beam SEM. A multi-beam is still a scanning SEM, but instead of one beam, the tool achieves faster throughput by using several beams, and then uses algorithms to combine their results. In 2020, ASML brought out a nine-beam SEM in a 3 x 3 array. It recently introduced a 25-beam system in a 5 x 5 array, claiming it will increase throughput up to 15 times over single beam e-beam tools, and is appropriate for routine in-line inspection, both voltage contrast and physical inspection.

The work required tackling some fundamental issues in physics. “If you pass too much beam current, you jam too many electrons together,” said Zhang. “And there’s a Coulomb (repulsive) interaction between electrons. That means when you have a high beam current, because the electrons are pushing away from each other, you cannot achieve an optimal spot size (high resolution). The spot size is not going to be small enough because the electrons are getting away from each other. But with multi-beam, you can parallelize all these beams together. So you can get throughput and also resolution at the same time.”

Other approaches
There are also other technologies that have been on the sidelines for some time that are being pulled into production environments, as well. Consider AFM, for example, which for years was considered a research tool, but which now has achieved sufficient speed to make it into production environments where quality is critical.

“We have IP that we established many years ago, and these are feed-forward algorithms that we use as we’re scanning a structure to really learn the topography that we’re seeing,” said Hector Lara, director and business manager for microelectronics AFM at Bruker Nano Surfaces. “If we see any repetition, we start applying that through some AI algorithms to speed up the scanning and maintain the accuracy that you would get in a very slow scan. Where there are predictable, repeating structures, we can speed things up even more. We apply some of that in AI, and for larger areas we’re looking at a combination of AFM and a profiler — all at the AFM resolution. But you have the challenge not wanting to go too fast because you don’t want to crash this tip into a structure. To prevent that, we’re looking at connecting to the graphic data systems from the semiconductor company, using their design to feed into AFM so we can navigate to an exact point and avoid any high or low points. We’re going exactly to this region of interest by getting input at the GDS level.”

In addition, it’s no longer just about the inspection time. The amount of data that needs to be digested is enormous, so companies are investing ways to optimize how that data is utilized. This is particularly true for AI, which is being added into inspection systems to speed up time to results.

“The amount of data is mind-boggling,” said Subodh Kulkarni, CEO of CyberOptics. “We have three 75-megapixel cameras, and they are running at 100 frames a second. We are dealing with gigabits per second and fiber optics. The sheer amount of data that is getting streamed to the GPU is mind-boggling.”

TEMs
And then there are devices that may never make it out of the lab. The familiar cross-section images of finFETs are created with transmission electron microscopes (TEMs), not SEMs. TEMs require thinner samples because the beam transmits through the sample, rather than scanning over it.

TEMs likely will never be used for in-line production, because of the destructive process of slicing dies into lamella. However, they play an important role in failure analysis. While a SEM maxes out at 500,000X magnification, TEMs can achieve 50 million.

Conclusion
Which is the best instrument depends on the task. One manufacturer said that sometimes their customers ask for microscopes with more power than they’ll ever actually need.

“You always want to ask where the benchmarks come from,” said Matthew Knowles, director of product management at Siemens EDA. “What’s the dataset and how old is it? Are they just keeping up? Or is this ‘breakthrough’ really enough to make a difference?”

Landing energy is important, because of the serious tradeoffs between resolution and potential degradation. So is uptime, which can have a big impact on throughput. One of the biggest influences on uptime is the vacuum itself, because contamination leads to scattering events.

“Always ask about total cost of ownership,” says Knowles. “The support costs to keep an e-beam at a manufacturing state to make it a viable production tool are huge. That’s one reason optical will always be a part of the picture — because they figured out the business model for the support structure.”

— Ed Sperling and Laura Peters contributed to this report.

References
1.) https://www.va.gov/DIAGNOSTICEM/What_Is_Electron_Microscopy_and_How_Does_It_Work.asp
2.) https://advanced-microscopy.utah.edu/education/electron-micro/
3.) https://www.thermofisher.com/us/en/home/materials-science/learning-center/applications/sem-electrons.html



1 comments

Shiwen Huang says:

If e-beam has resolution advantage in defect inspection, then x-ray would be even better in terms of resolution, throughput, no need of vacuum, etc. Why not use x-ray?

Leave a Reply


(Note: This name will be displayed publicly)