How Metrology Tools Stack Up In 3D NAND Devices

Buried features and re-entrant geometries drive application-specific metrology solutions.

popularity

Multiple innovations in semiconductor processing are needed to enable 3D NAND bit density increases of about 30% per year at ever-decreasing cost per bit, all of which will be required to meet the nonvolatile storage needs of the big data era.

3D NAND is the first truly three-dimensional device in production. It is both a technology driver for new metrology methods and a significant part of global semiconductor spending. About one in every three dollars invested in global capital expenditures for semiconductor toolsets is dedicated to fabricating 3D NAND devices.

As 3D NAND continues to scale vertically, control of CD and shape uniformity of smaller, taller features becomes increasingly difficult to control. And with word line stacks of 232 layers, escalating to 500 layers and more, engineers are turning to infrared scatterometry (IRCD), CD-SAXS, FIB-SEM and TEM analyses to achieve measurements with sufficient sensitivity and precision in three dimensions. Of these methods, IRCD and CD-SAXS appear to be closest to in-line, nondestructive production use with off-line verification of measurement accuracy by focused ion beam milling and SEM measurements (FIB-SEM) or TEM.

 

Fig. 1: Architecture of a 3D NAND structure. Source: Thermo Fisher Scientific

Fig. 1: Architecture of a 3D NAND structure. Source: Thermo Fisher Scientific

The flash memory strings start out as very tall, narrow channel holes. Ideally, channel hole trenches, which are packed more tightly together while growing ever taller, can be characterized at numerous positions along the etched hole (see figure 1). Yield is impacted by various types of feature distortion that result from structural stress, such as hole bowing, twisting, and tilting.

“The need to measure re-entrant features is one of the big reasons why OCD really took off to begin with,” said Nick Keller, director of applications development at Onto Innovation. “CD SEM was dominating, but it is limited as a line-of-sight technique. And OCD took off not just in 3D NAND but in logic, too, where re-entrant profiles must be measured.”

Tech driver
3D NAND flash memory is a technology driver for etching processes, typically needing to carve out ultra-narrow trenches that are around 100nm in diameter and greater than 10 microns deep. For this reason 3D NAND is a technology driver in the metrology realm, as well.

In the past, visible and near-infrared wavelength OCD provided sufficient penetration. But for high aspect ratio trenches with angstrom-level sensitivity, a different wavelength of light is required — mid-infrared. “We can get angstrom-level sensitivity – it just depends,” said Keller. “Typically, the higher the aspect ratio, usually the better the sensitivity we get.”

There are multiple critical metrology steps in 3D NAND device fabrication. The first follows the amorphous carbon hard mask etching step, which carves the channel holes into the dielectric stack. In fact, the bottom CD of the etched amorphous carbon layer (2 microns thick) has the greatest bearing on the channel features. The hard mask is used for patterning because it does a much better job of standing up to the aggressive plasma etch chemistries relative to thin photoresist, so it is used to protect the alternating silicon nitride and silicon dioxide layers during the long etch.

Legacy optical CD (OCD) ellipsometry uses light in the ultraviolet, visible, and near-infrared wavelength bands (0.75 to 1.4µm) to indirectly measure the absorption spectra of films. However, silicon nitride and silicon dioxide are largely transparent at these wavelengths, so there is little sensitivity to differentiate between changes in channel hole CD and silicon nitride recess dimension using its spectra. Instead, Onto’s IRCD tool uses light from 5.0 to 10.5µm, which takes advantage of the optical properties of the dielectrics in the mid-infrared.

Like OCD, IRCD relies on a Muller Matrix correlation of the spectral response to CD measurements. To meet the needs of high-volume manufacturing, IRCD needs to capture within-wafer CD variations, as well as wafer-to-wafer, at a processing speed that is comparable to OCD systems.


Fig. 2: Infrared CD metrology takes advantage of the unique optical absorption characteristics of Si3N4 and SiO2 (center top). Simulations of the electric field intensity at different wavelengths and channel depths illustrates the utility at 7 to 11µm. Source: Onto Innovation

The second critical dimension to measure is the silicon nitride recess. The silicon nitride layers are sacrificial, and eventually they will be replaced by the word line metal. The nitride recess is critical because confinement in self-aligned charge trap layers helps improve data retention in the memory device by preventing lateral charge migration.

Onto Innovation and Micron engineers demonstrated how IRCD can be used to measure channel hole CD and the silicon nitride recess on first- and second-tier channel holes. [1] The team also determined measurement uncertainty, sensitivity, and correlation based on tool noise using the AI Diffract engine. The engineers found that IRCD results correlated nicely with destructive reference CDs, while also delivering good short-term average precision of 1.3% (3 sigma) at both the first- and second-tier CD and silicon nitride recess measurements.

A third key metrology step follows the tungsten etch or the tungsten recess step, for which no in-line process control solution exists. This juncture is critical because under-etch of the tungsten can lead to word line shorting and destruction of the memory string. Over-etching typically causes degradation in device performance.

The metal structure is opaque to traditional OCD (UV/VIS/NIR) light beyond the first 50nm or so of depth. The measurements are made harder still by increased word line slit pitch scaling, which further reduces the limited optical signal from the structure. [1] For this step, IRCD can be used by taking measurements from a design rule matched slit pitch so the IR light penetrates the oxide-metal pairs to measure the tungsten recess z profile by utilizing SPP (surface plasmon polariton) coupling to through array vias. Onto and Micron engineers revealed good IR sensitivity to the slit bottom in 200+ layer devices with good correlation to reference metrology.

“With modeling, you can basically get the entire profile of the etched structure,” said Keller. He added that the IRCD system enables in-line measurement of large arrays of 80:1 aspect ratio holes in a single measurement, with systems likely to qualify for production fab use this year. The AI Diffract software helps engineers process the data more quickly.

Metrology methods in general are optimized to arrive at process control solutions as quickly as possible. During process development and yield ramping, this includes FIB-SEM and TEM-based analyses for direct CD measurement.

CD-SAXS
Another indirect CD metrology method uses transmission small-angle X-ray scattering (CD-SAXS). On periodic nanostructures, the X-ray path scatters with a strength that depends on the square of the height of a structure. For this reason, high aspect-ratio structures scatter more strongly than the signal from low AR features.

CD-SAXS transmits a collimated stream of high-energy (15 keV) photons through the whole depth of the wafer. The X-rays are scattered off a periodic array or grating. The technique may be especially useful in measuring hole tilt of channel holes or slits. CD-SAXS also can be used to calibrate other measurements, such as OCD. [2] However, to measure CDs at different depths, simulation of scattered intensity distribution is needed at multiple incident angles.

The downside to CD-SAXS is it is more costly than OCD systems and the measurements must be taken at multiple angles to extract an adequate amount of data, leading to longer measurement times and higher cost of owners ship relative to OCD and CD-SEM. “But with developments in X-ray sources and analysis methods, including machine learning, the COO of this technology is expected to reduce in the future” said Matthew Wormington, chief technologist for semiconductor X-ray in Bruker’s Nano Surfaces & Metrology Division.

Fig. 3: (a) A tilt wafer acquired with CD SAXS. (b) 3D and 2D cross-section profile obtained by CD-SAXS near the edge of the wafer and (c) the correlation plot between the average CD values obtained from XCD and FIB-SEM. Source: Bruker

FIB-SEM CD metrology
Device cross-sectioning using focused ion beam milling coupled with a scanning electron microscope is a destructive method used to gather 90-degree or angular (>28 -degrees) cross sections of device wafers. “This method, although destructive, provides “ground truth” insights and measurements directly on the device without any necessity of using a model like the other non-destructive methods do,” said Paul Kirby, senior marketing manager at Thermo Fisher Scientific. FIB-SEM methods can reveal incomplete etching of features, bowing, or twisting and especially channel hole to channel hole variations (see figure 2). [3]

Fig. 4: 3D NAND memory cell metrology showing channel area, major axis, and minor axis measurements as a function of depth from a 10-15 µm tall structure using diagonal mill and SEM imaging on a wafer-level plasma FIB-SEM. Source: Thermo Fisher Scientific

Fig. 4: 3D NAND memory cell metrology showing channel area, major axis, and minor axis measurements as a function of depth from a 10-15 µm tall structure using diagonal mill and SEM imaging on a wafer-level plasma FIB-SEM. Source: Thermo Fisher Scientific

“We’ve seen tremendous changes in the metrology, defectivity, and failure analysis space over the last three to four years,” said Xiaoting Gu, senior marketing manager at Thermo Fisher Scientific. “Increases in channel aspect ratio and 3D complexity have led all the major device manufacturers to rely on FIB-SEM or TEM-based reference metrology to validate and calibrate the output of the fab metrology tools.”

FIB-SEM is widely used to perform analysis of failed devices, and also to speed the ramping of yield-learning cycles.

Conclusion
3D NAND flash devices require new metrology methods to address the need to measure CDs in high aspect ratio (80:1) holes and slits. Infrared CD appears to be taking over where conventional OCD left off, providing high sensitivity measurements with angstrom-level precision.

CD-SAXS is being developed for 3D NAND metrology, but the high number of data points needed to create images and higher initial tool cost makes it a higher cost of ownership solution for now. Meanwhile, chipmakers are increasingly relying on FIB milling and CD-SEM as the reference metrology, but also to help speed learning cycles during process development.

References

1. Nick Keller, Wenmei Ming, Zhuo Chen, G. Andrew Antonelli, Troy Ribaudo, Zhuan Liu, Sadao Takabayashi, John Hauck, Joshua Frederick, and Dan Engelhard “Novel inline on-device measurement of silicon nitride lateral recess post channel hole ACI with IRCD metrology”, Proc. SPIE 12053, Metrology, Inspection, and Process Control XXXVI, 120530T (26 May 2022); https://doi.org/10.1117/12.2618035
2. P. Gin, M. Wormington, Y. Amasay, I. Grinberg, A. Brady, I. Reichental, K. Matney, J. Zhang, O. Sorkhabi “Inline metrology of high aspect ratio hole tilt and center line shift using small-angle x-ray scattering” Journal of Micro/Nanopatterning, Materials, and Metrology, Vol. 22, Issue 03, 031205, (March 2023) https://doi.org/10.1117/1.JMM.22.3.031205
3. https://www.thermofisher.com/blog/semiconductors/3d-nand-structure-3d-metrology-workflows-semiconductor-manufacturing



Leave a Reply


(Note: This name will be displayed publicly)