Optimizing Wafer Edge Processes For Chip Stacking

Several critical processes address wafer flatness, wafer edge defects and flatness to enable bonded wafer stacks.

popularity

Stacking chiplets vertically using short and direct wafer-to-wafer bonds can reduce signal delay to negligable levels, enabling smaller, thinner packages with faster memory/processor speeds and lower power consumption.

The race is on to implement wafer stacking and die-to-wafer hybrid bonding, now considered essential for stacking logic and memory, 3D NAND, and possibly multi-layer DRAM stacks in HBMs. Vertical stacking allows chipmakers to leapfrog the interconnection pitch from 35µm in copper micro-bumps to 10µm and below.

But going vertical comes at a cost, which has left chipmakers scrambling to find ways to reduce wafer-edge defects. Those defects significantly impede the ability to yield all die on the wafer, and the need to bond wafers together calls for incredibly flat, defect-free 300mm wafers. To better control wafer-edge defects throughout fab processing, and for fusion and hybrid bonding, engineers are fine tuning new and existing processes. These include a symphony of techniques involving both wet and dry etching at the wafer edge, chemical mechanical polishing (CMP), edge deposition, and edge trimming steps.

The improvement in performance and power efficiency is formidable. “Advanced packaging is starting to enable the higher processing speeds and capabilities through chip stacking, bringing memory closer together with the CPU and the GPU, said Alex Smith, executive director of global business operations at Brewer Science. “The shorter that we can make the wires to reach out to get the information and come back and process it, the faster we can accelerate the compute,”

Others agree. “You see that the data movement is still a significant portion of the cost of the chips themselves, so you have these tens or hundreds of cycles of memory access and maybe you have two to four cycles to get the values you want,” said Kenneth Larsen, senior director of product management at Synopsys. “Systems would get a big boost if we can get the memories much closer to the processors. And there is a tremendous savings in energy consumption when sending signals up and down the stack, instead of the long drives to send signals from the chip to external memory and back again.”

Processes also are being crafted around the specific needs of advanced packing. For example, Lam Research and its partner CEA-Leti optimized an edge deposition process for advanced packaging applications, which was rolled out last year. Prior to wafer thinning, edge deposition on bonded wafers provides reinforcement.

“These structures require material to fill in the gap at the edge, so the deposited film acts as a supporting layer,” said Ian Latchford, product marketing director at Lam Research. “Otherwise, the device wafer can crack at the edge during CMP because removal is faster at the edge. So there is a slope called CMP roll-off on the wafers that causes a gap to form between the wafers, which goes to zero. Without edge deposition, cracking at the wafer edge can occur during wafer thinning, dramatically impacting yield.”

Using AI-based advanced process control (APC) software, engineers can improve uniformity analyses across the entire wafer as well as wafer-tow-wafer within a stack “We provide real-time APC of plasma confinement center-to-edge, films uniformity center-to-edge, litho processes center-to-edge, etc.,” said Boyd Finlay, director of solutions engineering at Tignis. “We also analyze multiple wafers stacked on top of one another and sliced by time, recipe, tool, chamber, or technology.”

Wafers prepared for hybrid bonding must meet critical process specs to create high yielding hybrid bonds, such as incredible flatness (<1nm center-to-edge non-uniformity), zero particles on wafers to be bonding, exception wafer/wafer or die/wafer alignment, <200nm die placement accuracy, and more. Edge defects include particles, chipping, scratches, thin-film peeling, damage from wafer handling, which can dislodge and become defects that affect product yield.

CMP challenges
First developed by IBM in the late 1980s for the introduction of copper damascene interconnects, CMP has been a huge enabler for planarizing wafers and packing more functions into devices in a thinner profile. Wafer flatness, controlled edge roll-off, and particle reduction are key goals of CMP. Now, in addition to CMP’s use in planarizing shallow trench isolation, dielectrics and copper in BEOL interconnects, wafer grinding and CMP are being optimized to drastically thin the 300mm silicon wafer backside after bonding.

The quality of the device wafer also depends on the quality of starting silicon.  “Processing the edge of the wafer has been an issue for many years,” said Mike Walden, senior director of market research and analytics at TECHCET. “There’s a discontinuance or abrupt change that occurs because there is no neighboring material beyond the edge, so it changes the physics in those regions. In the polishing of bare silicon wafers, we’ve taken steps to try to compensate, such as using retainer rings. In this CMP, you have a retainer ring that supports the wafer in the polishing nest. That wafer edge is only contacting a very small amount of the ring, and the bare silicon wafer edge is essentially shaped into three sections — a taper, a more blunt edge and then another taper, which proved ideal for improving CMP performance versus a more rounded edge.”

Throughput is vitally important to all wafer processes. “If you perform CMP too fast you’re going to introduce non-uniformities, and you have a higher potential for introducing mechanical damage,” Walden said. “So there’s a very delicate tradeoff between maximizing the removal rate but keeping the uniformity and defectivity in check.”

CMP tool suppliers such as Applied Materials, Ebara Technologies and Axus Technology, together with pad and slurry providers, optimize wafer and wafer-wafer uniformity for each application, such as copper CMP in BEOL interconnects. “They design the entire consumables kit (slurry, pad, conditioner disk, P-CMP cleaner) at the same time for target process applications,” said Tignis’ Finlay. “The tools are also controlled for conditioner arm sweep rates versus pad lifetime and versus pad diameter.”

Chemical and mechanical engineering consider the tool, pad, and slurry combinations. “There are various characteristics that you control on the CMP pad including stiffness or hardness. The particle size, distribution and composition are extremely important, because these determine, in part, how the rate of removal is going to occur across the wafer and from wafer to wafer,” said Walden. He added that surface pattern engineering and optimized pad conditioning techniques also are used, as is real-time sensing and feedback of the pad surface so users can adjust CMP processes on the fly and make corrections.”

Both CMP and wet/dry etching processes are optimized to remove wafer edge defects using dedicated tools.

Dry and wet etching
“Bevel etching has been in production for about 15 years to enable yield enhancement by removing any undesirable materials — things that cause damage to the wafers or particles defects that can move from the bevel onto the center of the wafer,” said Lam’s Latchford. “Customers implement bevel etch throughout the line because there are certain points in a process flow where things build up.”

The etcher is optimized to remove any type of film on the edge of the wafer, whether it is a dielectric, metal, or organic. During reactive ion etch (RIE) of the bevel, the wafer is held by a top and bottom plate so that only the wafer edge, bevel, and backside edge of the wafer are exposed.

The etcher process is used in different ways, depending on the customer and specific flow. “Some customers wait until they’ve got a stack of films built up, and then kind of clean it back to the silicon surface. Other times they just try to get rid of one layer, like an thick carbon hard mask that is used for deep etches in NAND flows,” explained Latchford. This carbon mask is also conductive and can lead to arcing in the RIE chamber, so it is best removed.

“If you have 500 or 800 steps in a process flow, there are typically certain places where films build up or surfaces become roughened,” he said. “So there’s some contamination potential that we’re solving with bevel etch.”

And even though wet and dry cleaning processes have their own particular strengths, device makers typically choose one or the other for high-volume production. “People have been trying to clean edge defects and the bevel for 20 years,” said Sally Ann Henry, chief technologist of ACM Research. “Obviously, as we’ve moved down in technology nodes, it’s become more of an issue because people want to get more good die from the edge of the wafer. We have an edge exclusion of 2mm currently, and customers would prefer 1mm, so the defects on the edge of the wafer become more and more important.”

To successfully handle these thin wafers during and after the final grinding/thinning steps, the device wafer is first bonded to a glass wafer or silicon carrier wafer that meets semiconductor industry standards for prime wafers. Before the bonding step, these wafers will pass through at least one CMP processing step, the associated post-CMP, pre-bond cleaning steps, and the bonding process itself. If these steps do not meet critical quality, voids in the bonded wafers can occur at the edge and perhaps throughout the mating surfaces.

“If you’ve got a silicon oxynitride film, for instance, you may get peeling because there’s weak adhesion on the bevel,” Henry said. “You can clean that off with DHF. If you have something like titanium nitride (TiN), you can get peeling from the thermal stress, so it can be cleaned off with SC1, and you have similar applications for removing a backside polymer. After plasma etching of a film, you get polymers on the edge of the backside. You also can get peeling post-CMP. You want to remove that to prevent the peeled portion from re-depositing on the front of your wafer, causing a defect and killing the device.”

A dedicated cleaner provides edge etch. “We have a bevel clean system where we center the wafer, and then we use very small volumes of chemistry to clean the edge of the wafer, the outer 1.05mm using the traditional chemistries — SC1, dilute HF, SPM (sulfuric-peroxide mixture), or maybe a mixture of HF and nitric acid, depending on what film you’re trying to remove,” she said. “The chemistry is applied through a small nozzle while the wafer spins, using nitrogen on the top of the wafer to control that bevel edge clean with high accuracy.”

Because thin wafer handling and processing is such a challenge, most chipmakers use temporary bonding of wafers to glass wafers for support during processing. “Most people are bonding to a glass wafer. And in most of our tools, we clean the wafer front side and the back side at the same time,” said Henry. “So for some of these very thin applications, particularly where you’ve thinned the wafer down to maybe only 200µm thick, customers use a Tyco ring that holds the wafer because bowing is the biggest problem.”

Current state-of-the-art involves using glass wafer carriers for the bond/debond of thin wafers, where an organic adhesive bonds the wafer to the glass, which is later removed using laser or UV debonding processes. These processes are compatible with wafer-to-wafer or die-to-wafer processing using collective processing. A variety of adhesives are available to be compatible with either high temperature or lower temperature wafer processing.

“Brewer Science’s expertise is in the thin wafer handling process for temporary bonding and debonding,” said Smith. “What is interesting is that 10 years ago, if you debonded a wafer and cleaned it, you then checked it with a microscope and if there was no residue that was you’re like, “okay, I’m good.” But now the cleanliness requirements have really accelerated with hybrid bonding. Because if you have any particulates at all is a potential yield killer,” said Smith.

Dry deposition
NAND devices were the first critical application for which Lam developed its bevel deposition (see figures 1 and 2). “The bevel deposition system deposits a protective silicon dioxide layer ,and we first started working on edge deposition for 3D NAND devices,” Latchford said. “It’s now expanded to other applications. One of the most interesting uses is enabling bonded wafer applications for 3D packaging. The deposition can occur over the first few millimeters on the front side, bevel, and/or the back side, from a few hundred angstroms of thickness to microns of material.”

Lam’s system is built on learning from its bevel etch tools and other lines of deposition systems. “We took a lot of the key IP and capability established in the bevel etch system, like the best-in-class precision wafer centering and plasma shaping technology, and applied it to the edge deposition system introduced last year.”


Fig. 1: The Coronus DX process deposits a silicon dioxide film concentrated on the bevel area. Source: Lam Research 

Another new application, which is in R&D today, involves depositing thin silicon nitride film to control copper contamination. For the existing applications, Lam engineers expect a 0.2% to 0.5% yield boost per step.


Fig. 2: Schematic of bevel deposition system (left), and process flow for bevel deposition, bonding, and thinning flow. Source: CEA-Leti

Wafer thinning and edge trim
Wafer thinning of the base silicon for advanced devices induces significant stress. “When we thin it down, the underlying silicon becomes thinner and thinner, and so multiple thermal and mechanical stress are revealed as deformation,” said Shaun Bowers, senior market analyst for semiconductor packaging and materials at TECHCET. “For NAND and advanced logic devices, for instance, there is very little silicon left. The rest of it is all the metal stacks, and all those layers add to the stress.”

To provide an idea of just how much silicon is removed, one must consider the original wafer. “For 300mm silicon wafers, you start with 775 microns, and after you’ve done with all your device processing, you’re thinning it to 35 to 50 micron,” said Walden.  “And particularly as you start to think about this concept of compute-in-memory, where you’re going to stack logic right on top of very high-performance, high-bandwidth memory, you have completely different devices with completely different forces and stresses that exist between those two.”

The edge trimming process is a wet process that can remove the outer 1 to 1.5mm of the wafer, and it can be performed either pre-bond or at the bonding step. “There are different opinions about that. But say you are doing fusion bonding, every wafer has CMP roll-off, and then there’s basically the bevel,” said Thomas Uhrmann, director of business development at EV Group. “So if you bond together, there always will be a region that is not perfectly filled. There is basically a very, very small gap that gets slowly to zero. If you now start to grind it down, it starts to get very brittle in this area because the trim is basically like a knife. So this edge control and how you manage it is a hot topic right now.”

Others agree. “There can be two major yield fallouts in bonding due to stress depending on format,” said Bowers. “In chip-to-wafer bonding, the bumps on the edge of the chip are very susceptible to stress. Designers have to alter the design rules to pull I/Os into the center of the chip if they cannot alter the stress profile. In wafer-to-wafer bonding for an HBM, for instance, the bumps at the wafer periphery are most at risk for stress. And you’ve got a chamfer at the edge that is hard to control, and you may have some edge damage magnified by stress. People are looking at different ways to solve that.”

Wafer edge trimming is performed prior to wafer-to-wafer bonding, bulk silicon removal and CMP. Many of the traditional CMP suppliers offer edge trimming processes.

Conclusion
Wafer edge defects are a substantial challenge in manufacturing that are being addressed using CMP, dry or wet etch, edge deposition, and wafer edge trimming. Even though hybrid bonding is being used in production by some leading device makers, it is still a relatively immature and costly process. By improving and optimizing the processes specifically for wafer stacking, more segments of the industry will be able to access this enabling technology.

Brewer Science’s Smith points to one potential improvement. “For hybrid bonding you want to thin the wafer and then release it. Then you’re going to bond it either chip-to-wafer or wafer-to-wafer. So you’re still thinning the wafer, first de-bonding it and needing to clean it. And there are some mechanisms where you would like to bond wafer-to-wafer and then do the thinning, but that remains to be seen how that plays out. I’m sure that eventually we’ll be able to do those things directly to eliminate a process step,” he said.

Related Stories

Defect Challenges Grow At The Wafer Edge

Gearing Up For Hybrid Bonding



Leave a Reply


(Note: This name will be displayed publicly)