The Rise Of Thin Wafer Processing

From thinning and trimming to bonding and debonding, 3D package quality is built on precise and extremely thin wafer processes.

popularity

The shift from planar SoCs to 3D-ICs and advanced packages requires much thinner wafers in order to improve performance and reduce power, reducing the distance that signals need to travel and the amount of energy needed to drive them.

Markets calling for ultrathin wafers are growing. The combined thickness of an HBM module with 12 DRAM dies and a base logic chip is still less than that of a prime silicon wafer. Thin wafers also play a pivotal role in assembling fan-out wafer-level packages and advanced 2.5D and 3D packages for AI applications, which are growing at a much faster rate than mainstream ICs. Add to that the industry’s appetite for sleek, lightweight cellphones, wearables, and medical electronics, and it appears that modern-day microelectronics would not be possible without the ability to reliably process thin silicon wafers.

The reveal process for thin through-silicon vias (TSVs) is a classic process requiring backside processing. “Any stacked device, almost by necessity, is going to have to have through-silicon vias,” said Rick Reed, director of Advanced 3D/Technologies at Amkor Technology. “The introduction of through-silicon vias in many current applications requires a very controlled thinning process, and because you almost always have to do backside processing, the process immediately necessitates temporary bonding and debonding processes.”

The first step in any wafer thinning process is to identify the target. “If you have what we call blind TSVs in the silicon, and if you don’t have knowledge of the range of depths of all TSVs in the wafer, you stand a chance of grinding into some of them,” Reed explained. “Because copper is a fast diffuser in silicon, it induces leakage. But it also contaminates the grind wheel, so subsequent wafers get copper spread on it.”

Several critical decisions go into the thinning and processing of thin device wafers. Which temporary bonding adhesive is most compatible with the process flow? Can it fix the thin wafer in place during a variety of processes including CMP and high-temperature deposition, yet be cleanly removed after processing? Which carrier wafer is best for the application, silicon or glass? And which debonding process among several leading methods will best remove the adhesive after processing at a reasonable cost?

Despite the security of a carrier wafer — also called a handle wafer — ultrathin wafers are fragile and brittle. That makes them susceptible to damage, including micro-chipping and cracking during thinning, as well as during subsequent high-temperature processes such as plasma-enhanced chemical vapor deposition (PECVD). As the ultrathin wafers undergo lithography patterning, PECVD, reflow, dicing, and debonding (carrier removal), damage is the greatest threat to yield. Still other problems can arise, as well, due to warpage and/or void formation between the wafers.

“When it comes to yield and wafer thinning, everything is about controlling the thinning process at the wafer edge,” said Thomas Rapps, product manager at Suss. “Delamination can occur not only during grinding, but also during thermal steps. Also, if the device wafer has some internal stress, it likes to warp. So delamination can be caused by warpage or some kind of voids between the two wafers that you could find with inspection, but ultimately you would get cracks.”

The wafer edge is rounded at the bevel, but upon thinning this profile changes. “So if you’re grinding the device wafer, typically you will end up with a completely sharp tip, basically just one atom in an ideal world,” Rapps said. “It’s very fragile. Edge chipping means that part of the edge cracks out and it could also start a crack that goes through the whole wafer. So to prevent that, you would typically do an edge trim, which also uses a grinding wheel. You are dicing a step into the edge of the wafer, which needs to be at least as deep as your final wafer thickness.”

In addition to managing essential yield issues, chipmakers are seeking solutions tailored to their specific device type, and tool reliability is a top requirement. “The applications with the device complexity are just becoming more and more specific,” said Ian Latchford, product marketing director at Lam Research. “The customers want precision, and they want to have repeatable processes each time. They don’t want a universal solution, but they want something that works the same way every time and with high productivity.”

To deliver on these needs, the industry is perfecting the thinning steps, the adhesive and removal chemistries, and the temporary bonding and debonding processes (see figure 1). The adhesive, typically an organic thermoset or thermoplastic material, is spin coated on the carrier wafer, while a much thinner debonding material is often spin coated on the device wafer. Bonding takes place under vacuum thermocompression (TCB) or via UV irradiation. The carrier wafer provides the foundation for the device wafer to be thinned and processed until the removal chemistry is engaged in the debonding process.


Fig. 1: Process flows for temporary bonding (above) and debonding (below). Source: Suss

Silicon versus glass carrier wafer
The industry uses both glass and silicon carrier wafers. Some of the reasons glass is a popular carrier is that its coefficient of thermal expansion (CTE) can be engineered to be close to that of silicon’s, which ensures compatibility with other materials in the stack. Borosilicate glass, for example, has a CTE close to silicon’s CTE, is stable over a wide temperature range, and it transmits infrared (IR) or ultraviolet (UV) laser light through its surface to activate debonding release layer.

“For mechanical debonding and IR laser debonding, either silicon or glass carriers could be used depending on the process requirements,” said Hamed Gholami Derami, business development engineer at Brewer Science. “But for UV laser and photonic debonding, a glass carrier must be used.”

The appeal of silicon carrier wafers is partially due to silicon’s compatibility with all wafer processing tools and electrostatic chucks. The CTE of silicon exactly matches that of the silicon device. A final advantage with silicon is it is cheaper to attain lower TTV (total thickness variation) than with a glass wafer.

“If you compare glass and silicon carriers of the same quality — so the same TTV — you are talking about almost a factor of two difference in cost,” said Thomas Uhrmann, CTO of EV Group.

How temporary bonding works
When it comes to temporarily stacking one wafer on another wafer, engineers typically employ carrier wafers, a “glue” or temporary bonding adhesive, plus a release layer that facilitates removal after processing. In a few cases, a single adhesive layer accomplishes both tasks. Importantly, bonding and debonding mechanisms work together to enable clean removal of processed material after it is released from the carrier.

There are multiple criteria that make an adhesive good. It bonds at low temperatures, yet can withstand high temperature processing. It must deposit uniformly over a 300mm surface by spin coating, but also achieve high bond uniformity.

“The ideal adhesive can bond at low temperature, and then throughout the backside processing and thinning,” said Paul Lindner, executive technology director at EV Group. “It should withstand very high temperature without degrading or changing its properties. And we want to have a low-force, room-temperature release so there is no additional thermal budget. On top of that, the adhesive should have a very good thickness uniformity, which is generated first of all by the coating uniformity, but then also the bonding uniformity, because any thickness non-uniformity translates into the backgrinding non-uniformity of the product process and usually cannot be easily compensated in backgrinding.”

But what works for one application may not work for another. “The main problem is there is basically not one solution for all possible flows, and the most important criteria for material selection is temperature stability,” said Suss’ Rapps. “What is the maximum temperature in the downstream process, between the temporary bond and the debond? There are many materials that can go up to 250°C, and that is due to the fact that if you are doing reflows, that typically does not require temperature above that. But only a few materials can go to 350°C.”

Spin coating provides a level of process flexibility. “By spin coating, you can level the material so that it also can embed certain features, like microbumps that you need to solder later,” Rapps said. “So the adhesive has two functions — as an adhesive, but it also levels embedding features that can have very low or very high topographies. So after spin coating, we bake the wafers and then bond them. And often the material needs to be cured to stabilize the bond, but that is really specific to the material solution.”

Wafer thinning priorities
Next, the wafers are thinned in a stepwise fashion. Thinning to well below 100µm requires a delicate balance of grinding, CMP, and etching processes to meet the tight specifications for TTV, which is the difference between the thickest and thinnest measurement on a wafer. For silicon this is typically measured using a laser interferometer across hundreds of points of the wafer, and TTV is the quality metric that must be maintained wafer-to-wafer and lot-to-lot in high-volume manufacturing.

Thinning wafers is a bit like sanding wood. It starts with coarse grinding and proceeds down to finer and finer sandpaper to get the smoothest final result. In wafers, each step provides improved across-wafer uniformity and lower TTV.

“The coarsest method is the wafer grinding step, which gives a final thickness variation in the range of several microns,” explained Mattias Nestler, director of products and technology at scia Systems. “The CMP steps are more precise than wafer grinding, and there you can reach a variation of several hundred nanometers. Next, with plasma etching, you can reach 10 to 100 nanometers. Or with ion beam etching as the final step, in the best case, we can trim the wafer down by a factor of 20, so a variation of 250 nanometers can be reduced to 25 nanometers, and we can do even better using a two-step trimming process with measurements in between.”

Given the importance of total thickness variation, engineers are keen to quantify the sources of variation in thinning and processing. “We use a glass carrier for the TSV reveal, but even the best glass you can buy has about 1-micron TTV across the wafer,” said Amkor’s Reed. “And then, when we put adhesive on it, this adds a couple microns of variation. Then, our grinding process is very uniform, but still it introduces about 2 microns TTV.”

Dry etch introduces variation as well, which may have a radial distribution. “So when you sum this up, there’s approximately 5 microns of variation,” Reed said. “Our six-sigma process for TSV reveal is pretty robust, and it handles these sources of variability through careful setup and knowing the depth variation of the TSVs at the start.”

Tips to ensure a precise TSV reveal process include:

  • Mapping out TSV depth determined by Bosch etching in silicon;
  • Uniformly spin-on bonding adhesive and debonding release layer, then bake, cure, and bond;
  • Backgrinding silicon to within 10 microns of TSV bottoms using coarse, medium, and fine grinding to a mirror-like finish;
  • CMP by coarse, medium, and fine planarization;
  • Reveal TSVs with plasma etch;
  • Deposit silicon nitride film as polish stop;
  • Deposit thick silicon dioxide to TSV tops, and
  • CMP back to reveal TSVs.

“Features on the grinding wheel can provide auto feedback of silicon thickness during grinding, and a similarly adaptive CMP process can lead to more successful, extreme thinning of silicon,” said Reed.

Another parameter that requires close monitoring is temperature. “We are now controlling the temperature of the CMP process in-situ, which has a lot of process benefits for CMP in general, said Dan Trojan, CEO of Axus Technology. “The main temperature limitation is the glass transition temperature of the polishing pad, made from polyurethane. When this is exceeded, the polymer changes from a liquid to solid, where you have much higher friction and bad things happen really fast. So we have a way to basically cool the surface of the processing pad without diluting the slurry, which also helps increase the removal rate. We also use a multi-zone membrane carrier to locally apply different pressures across the wafer, instead of applying just one pressure.”

Perhaps the most common TSV architecture today for silicon interposers uses TSVs that are 11 microns in diameter and 110 microns deep, where the barrier metal and oxide insulator layers make up 1 micron of that diameter. Even though the capability for making, for instance, 5 micron TSVs that are 55 micron in depth has been proven, the industry appears to be sticking with the thicker and more costly 100 micron silicon interposers for the time being.

Managing backside and edge defects
The most common problems engineers confront in thin wafer processes revolve around preventing defects or microcracking, especially at the wafer edge.

Selective plasma etching that occurs just at the wafer edge can help in removing edge defects, while selective CVD can passivate the edge. “In the 3D packaging world, the stacked wafer structures require something to fill in the gap at the edge,” said Lam Research’s Latchford. “Device makers have a lot of problems with the profile at the edge due to CMP roll off, which causes a gap. Then they have to thin the device wafer and they can end up cracking the edge, which has a terrible yield impact. So we’re actually putting down microns of silicon dioxide film here to fill the gap in bonded wafer flow applications. “

The plasma etch or ion beam etch processes are also designed to smooth any imperfections induced during CMP, such as subsurface scratches, so-called digs (divots in the silicon lattice), and stains.

Finding the right release method
For debonding, UV and IR laser ablation, and photonic debonding have emerged as the leading mechanical separation mechanisms because they are compatible with large, thin wafer formats (300mm wafer, 50µm thick), and can separate the wafers with minimal device damage relative to thermal slide and chemical immersion methods (see figure 2).


Fig. 2: Most popular wafer debonding methods. Source: Brewer Science

Thermal slide debonding uses polymers with a low melting point, known as thermoplastics, that flow when heated to facilitate sliding and separation. Unfortunately, this method is incompatible with thermal processes such as PVD of metals or PECVD of dielectrics, which induces strong wafer stresses and can cause wafer breakage. Thermal slide also subjects the devices to more thermal exposure than is necessary, since competing debonding methods occur at room temperature. Nonetheless, thermal slide debonding is a low-cost method that remains a useful choice for small and slightly thicker substrates.[1]

Chemical dissolution works by immersing the bonded pair in a solvent, and a perforated carrier wafer can help speed up the process. High solvent consumption and low throughput hinder the widespread use of chemical debonding.

“Chemical debonding materials were used in older packages and relied on a chemical bath to release the wafer. Thermal slide materials were introduced later and are still used in certain processes but have limitations in terms of minimum wafer thickness they can handle, thermal budget, and throughput,” said Brewer’s Derami. “On the other hand, using mechanical debonding, we can handle thinner wafers with lower stress levels and easier debonding, as well as better thermal budget for higher-temperature applications. More recently, laser release materials provide the most flexibility. They can handle thinner wafers, have higher throughput, and near-zero force debonding.”

Photonic debonding is a relatively new debonding method that uses pulsed broadband light source to debond temporarily bonded wafer pairs by using a light absorbing layer as an inorganic metal release layer. One advantage to photonic debonding is its lower cost and faster throughput relative to laser ablation methods, as well as high tolerance for variations in focal distance to the release layer. That makes it compatible with bonded pairs with some warp or bow. Photonic debonding may be a preferred debonding method for applications where substrates are being thinned below 20µm and utilize a very high downstream temperature process where adhesion and TTV control are critical.

Mechanical debonding (a.k.a., mechanical lift-off) uses a blade inserted between the wafer pair to physically separate them. That approach requires a device wafer that can handle some physical stress.

Laser ablation, using an ultraviolet laser (254, 308 or 355nm), or an infrared (1064nm) laser, along with a release layer tuned to that wavelength, works by absorbing the illuminating energy, undergoing chemical changes and separating. It is the fastest debonding method, at about 20 to 30 wafers/hr, and there is little stress to the wafer. However, a shielding layer may be needed to reduce any harm to the devices from the laser’s sonic front. Laser debonding is a preferred debonding method for applications where substrates are being thinned below 20µm and using very high downstream temperature process where adhesion and TTV control are critical.

“Sometimes the bottleneck of the system is not the actual debonding step, but the removal of the adhesive post-debond,” said EVG’s Lindner. “This wet processing step dissolves the adhesive, so if the adhesive stays with the carrier, then it can be taken off somewhere else and processed. But if the adhesive stays with the product, there are usually multiple cleaning modules working in parallel in order to match the throughput of the debonding module.”

In recent years, EVG developed a nanocleaving method in which an inorganic layer replaces organic adhesives. This inorganic layer in silicon is compatible with much higher temperatures (>900°C), and is therefore compatible with all front-end processes. The company anticipates uses not only in advanced packaging but also in front end layer stacking applications.

Recycling
As architectures requiring temporary bonding and debonding processes become more common, there is a strong desire in the industry to recycle carriers, particularly silicon carrier wafers. This adds another set of challenges.

“If there are certain chemicals applied, they could contact also with the carrier material and etch it, causing degradation over time,” said Suss’ Rapps. “But typically, a carrier can be used up to 10 times as a part of optimizing costs for high-performance and high-value devices.”

Conclusion
Wafer thinning, temporary bonding, thin wafer processing, and debonding methods are becoming essential process steps in 2.5D and 3D packaging, wafer stacking, and wafer-level fan-out packaging. Chipmakers are working closely with suppliers to select the right adhesive, release material, bonder, debonding method, grinding, CMP, etching, and cleaning processes that can produce ultrathin devices <50µm thick with high yield and reliability. This requires thermal stability, mechanical stability, and attention to the wafer edge, all of which are needed to drive down potential defects and improve yields using these critical thin wafer processes.

Reference

  1. Mo Zihao, et. al., “Temporary Bonding and Debonding in Advanced Packaging: Recent Progress and Applications,” Electronics, 2023, 12, 1666. https://doi.org/10.3390/electronics12071666

Related Reading
Optimizing Wafer Edge Processes For Chip Stacking
Several critical processes address wafer flatness, wafer edge defects and what’s needed to enable bonded wafer stacks.
Defect Challenges Grow At The Wafer Edge
Better measurement of edge defects can enable higher yield while preventing catastrophic wafer breakage, but the number of possible defects is increasing.



Leave a Reply


(Note: This name will be displayed publicly)