Week In Review: Manufacturing, Test

FD-SOI bonanza; KLA-Tencor’s tools; equipment forecast.

popularity

Chipmakers
GlobalFoundries said that the company’s 22nm FD-SOI technology has delivered more than $2 billion worth of client design win revenue. With more than 50 total client designs, the technology is designed for automotive, 5G connectivity and the Internet of Things (IoT).

Helic has announced that its electromagnetic (EM) modeling engine has been certified for GlobalFoundries’ 22nm FD-SOI process technology, enabling designers to achieve EM design implementation, predictable analysis and signoff.

Intel has acquired eASIC, a structured ASIC provider. eASIC will join Intel’s Programmable Solutions Group (PSG). “Having a structured ASICs offering will help us better address high-performance and power-constrained applications that we see many of our customers challenged with in market segments like 4G and 5G wireless, networking, and IoT,” said Daniel McNamara, vice president and general manager of the Programmable Solutions Group (PSG) at Intel.

Fab tools and materials
KLA-Tencor has rolled out two new defect inspection products. The first system, dubbed the Voyager 1015, inspects patterned wafers. It inspects wafers in the lithography cell after the development of the photoresist, when the wafer can be reworked.

The second system, called the Surfscan SP7, enables defect detection on bare wafers. Together, the two new inspection systems are designed to accelerate time-to-market for electronic devices by capturing defect excursions at the source.

“With leading IC technologies, wafer and chip manufacturers have very little room for error,” said Oreste Donzella, senior vice president and chief marketing officer at KLA-Tencor. “Critical dimensions of next-generation chips are so small that the minimum size of a yield-killing defect on bare silicon wafers or blanket-film monitor wafers has shrunk below the detection limit of available tool monitoring systems. A second key gap in the defect detection space has been reliably detecting yield-killing defects introduced early in the lithography process, whether 193i or EUV.”

——————————————–

David Lam, chairman and CEO of Multibeam, recently updated the company’s efforts to develop a multi-column, e-beam direct-write technology. The company is targeting lithography for low-volume IC fabrication and embedded security.

3D-Micromac AG has introduced the microPREP 2.0 laser ablation system for high-volume sample preparation of metals, semiconductors, ceramics and compound materials for microstructure diagnostics and failure analysis (FA). Developed jointly with Fraunhofer Institute for Microstructure of Materials and Systems, the microPREP 2.0 complements existing approaches to sample preparation such as focused ion beam (FIB) micromachining, offering up to 10,000 times higher ablation rates and therefore an order of magnitude lower cost of ownership compared to FIB.

ASM International has announced the Synergis atomic layer deposition (ALD) tool for advanced-node logic and memory high-volume production applications. The latest addition to ASM’s line of ALD tools, Synergis leverages ASM’s core ALD hardware and process technology to address a wide range of thermal ALD applications.

Leti, a research institute of CEA Tech, and Soitec have announced a new collaboration and five-year partnership agreement to drive the R&D of advanced engineered substrates, including SOI and beyond. This agreement involves the launch of an R&D center and a prototyping hub within Leti. The hub, dubbed the Substrate Innovation Center, will feature access to shared Leti-Soitec expertise around a focused pilot line. Key benefits for partners include access to early exploratory sampling and prototyping, collaborative analysis, and early learning at the substrate level.

SEMI has announced the re-election of 10 current members to the SEMI International Board of Directors in accordance with the association’s by-laws.

Packaging and test
National Instruments (NI) and Spirent Communications have announced their collaboration to develop test systems for 5G New Radio (NR) devices. In addition, NI announced two series of new millimeter wave (mmWave) radio heads for its mmWave Transceiver System. The new radio heads, which cover spectrum from 24.5GHz to 33.4GHz and 37GHz to 43.5GHz, are targeted at wireless researchers prototyping 5G NR systems.

Advantest has been selected for the second consecutive year as a member of the SNAM Sustainability Index compiled by Sompo Japan Nipponkoa Asset Management (SNAM). The SNAM Sustainability Index is based on a combination of ESG (environmental, social, governance) assessments and stock valuation.

Astronics has announced the shipment of its 2,000th semiconductor tester and that its equipment has tested more than 10 billion semiconductor devices to date.

EV Group has unveiled the new SmartView NT3 aligner, which is available on the company’s industry benchmark GEMINI FB XT integrated fusion bonding system for high-volume manufacturing applications. Developed specifically for fusion and hybrid wafer bonding, the SmartView NT3 aligner provides sub-50-nm wafer-to-wafer alignment accuracy-a 2-3X improvement-as well as significantly higher throughput (up to 20 wafers per hour) compared to the previous-generation platform.

Market research
SEMI reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 10.8% to $62.7 billion in 2018, exceeding the historic high of $56.6 billion set last year. Another record-breaking year for the equipment market is expected in 2019, with 7.7% forecast growth to $67.6 billion.



Leave a Reply


(Note: This name will be displayed publicly)