When And Where To Implement AI/ML In Fabs

Smarter tools can improve process control, identify the causes of excursions, and accelerate recipe development.

popularity

Deciphering complex interactions between variables is where machine learning and deep learning shine, but figuring out exactly how ML-based systems will be most useful is the job of engineers.

The challenge is in pairing their domain expertise with available ML tools to maximize the value of both. This depends on sufficient quantities of good data, highly optimized algorithms, and proper training of engineers using those tools. But once implemented, even on a small scale, the power of these algorithms in the hands of qualified engineers can boost yield, foster new recipe development, and improve control across a fab. Moreover, engineering teams now can benefit from AI/ML approaches at any point in the process development and management cycle, helping them to sort through a host of complex interactions, with less tedium, and in less time.

In wafer fabs, semiconductor process engineering teams long have relied upon statistical process control (SPC) charts to reduce process variability. While this univariate analysis remains a cornerstone of process control for meeting demands of fab throughput and yield, engineers are beginning to implement intelligent data analysis approaches. ML already is being used to create operational models that aptly capture multi-variant relationships at the tool level. Extending beyond process module control, ML can accelerate initial recipe development, provide better responses to yield excursions, and enable autonomous process module controls.

Massive improvements in computational resources further enable the leveraging of greater volumes of data from multiple sources. Data training and retraining also delivers benefits.

“There have been lots of breakthroughs in new ML algorithms, many of which are deep learning-related,” noted Jon Herlocker, CEO of Tignis. “Deep learning is a big area of investment, and as an industry we can do many things that were not possible before, especially when it comes to working with large models. There have been many implementations of high-quality ML models in open-source environments, such as TensorFlow. There have been many improvements in model retraining automation, which is essential for the broad deployment of ML models in high-volume manufacturing [HVM] environments. Finally, improvements in hardware performance help accelerating the performance of ML models, especially in the area of model training.”

ML and computational capabilities are being applied or targeted to a range of challenging problems.

“Optimizing semiconductor manufacturing processes, such as etching, deposition, and lithography, are some examples of process optimization where machine learning (ML) techniques are being used,” noted Ajay Baranwal, director of the Center for Deep Learning in Electronics Manufacturing (CDLe), and member of the eBeam Initiative. “Analyzing high-dimensional semiconductor material properties, and predicting which material meets the desired specifications and characteristics, are being done using ML algorithms for material selection. Defect detection and classification for semiconductor wafers and masks have shown promising results. Offline and real-time process monitoring and control are used too.”

Fig. 1: ML applications are used to speed recipe development, process ramping, and manufacturing WIP control. Source: A. Meixner/Semiconductor Engineering

Fig. 1: ML applications are used to speed recipe development, process ramping, and manufacturing WIP control. Source: A. Meixner/Semiconductor Engineering

One way to view the value of ML frameworks is its adeptness at capturing complex multi-variant relationships in different scenarios. They can be effective regardless of whether this involves small amounts of data used in process design experiments, or big data sourced from multiple process and test steps.

Pathfinding recipes and little data
Semiconductor manufacturing flows can include hundreds or thousands of process steps, each with a recipe of input parameters that have been determined by engineers to reach process output specifications and tolerances. Traditionally, this is optimized one parameter at a time. However, that approach ignores the interactions between multiple input and output parameters.

Recently, engineers applied AI/ML methods to accelerate initial process recipe development. Case studies for plasma etch recipe development shared by Lam Research and Sandbox Semiconductor show clear benefits for the process. This is especially important, because plasma depositions and etch steps can account for about 50% of all wafer processing steps. In such cases, relationships between parameters are highly non-linear.

What makes recipe development for plasma processes particularly challenging is the need to meet exacting tolerances for output parameters.

Fig. 2: For each virtual etching process simulation, the recipe (flow rates, pressure, bias, etc.), produces output metrics that manifest as the etch profile. Source: Lam Research

Fig. 2: For each virtual etching process simulation, the recipe (flow rates, pressure, bias, etc.), produces output metrics that manifest as the final etch profile. Source: Lam Research

Lam Research shared results from its plasma etch recipe development experiment, comparing engineers with different levels of expertise against just ML approaches, and against engineers followed by ML optimizations.[1] Their research noted engineers tend to vary one or two parameters at a time, while ML algorithms vary multiple parameters simultaneously. The research assessed each approach based on the cost needed to achieve the process target (i.e., number of DOEs).

Fig. 3: Experimental process targets for LAM Research experiment.[1] from 1. Kanarik, K., et al. “Human–machine collaboration for improving semiconductor process development,” Nature 616, 707–711 (2023). https://doi.org/10.1038/s41586-023-05773-7

Fig. 3: Experimental process targets for Lam Research experiments.[1]

The success for each experiment was judged on proximity to etch profile properties, etch rate, uniformity, and mask erosion. With multiple outcomes to assess, researchers created a metric, progress tracker, based upon how closely each recipe met all targets. The engineers concluded that the “human first, computer last” approach provided the lowest cost, with that approach reducing process development cost by 50% compared to the standard, engineering analysis alone.

Such ML approaches for recipe development can be applied to other processes, as well. “What’s less important is where the process step stands in the process — front, middle or back end,” said Keren Kanarik, technical managing director, Lam Research. “What we studied here was a single step with 11 input and 6 output parameters. Results apply to a whole range of processes, but they’d be more likely applied to processes of similar complexity that consist of about a dozen input parameters and half a dozen output parameters. If you were to do an easier process, our results still apply.”

What’s important to figure out is how best to deploy both engineers and ML to achieve optimal results.

“We found that humans excel in the early stages of process development, while algorithms are more cost-efficient near the tight tolerances of the target. Humans are essential, thanks to their domain expertise and ability to solve challenging, out-of-the-box problems,” said Kanarik. “Algorithms can help address the tedious aspects of process development. The combination significantly speeds up innovation. As chipmakers try to address the many challenges associated with scaling advanced devices, the implications are very exciting.”

A separate ML application by Sandbox engineers focuses on assisting plasma engineers in developing an ML model. Starting off with the empirical experiments, based on 10 to 50 wafer runs (typically multi-batch experiments, 3 to 5 wafers per set of inputs), an initial model is created.

“In our model build pipeline, we use some empirical data to build a model. With our etch and deposition physics-based modeling library, we pull out all the key governing mechanisms, and then we calibrate that model. Then we optimize that model for predictions across the process space,” said Meghali Chopra, CEO of Sandbox Semiconductor. “The end result is that a process engineer can plug in a combination of process parameters and actually simulate an etch profile — for instance, a 3D NAND slit. We anchor the ML exploration with a physics-based model, which predicts how each critical dimension evolves. You could do it with a pure statistical method for each of your CDs, but because it’s unconstrained (limited data set, many process parameters), you can get unrealistic solutions — for example a negative number. Once we have developed this pipeline process, engineers have a tool to solve the process challenges themselves. Specifically, customers are actually building AI models.”

Using this model build pipeline, Sandbox customers have optimized profiles for 3D logic and 3D memory etch processes, which made process development as much as 8X faster.

HVM recipes and medium data
Initial process recipe development is focused on achieving process targets with one run on some part of the wafers. When moving into production, engineers need to hit those targets across the wafer consistently. The larger data volume enables insight into wafer spatial patterns, improving run-to-run control, or equipment/component degradation mechanisms. Recipes get refined and input parameter ranges narrow.

“The size, quality, and type of data available are the key considerations when using ML approaches for the development of process recipes. We think of this decision on a spectrum. When there is limited data available, first principles models and/or physics-based AI tend to be better solutions,” said Sandbox’s Chopra. “Physics-enabled AI typically drives more value during recipe ramp up. With larger data sets and during HVM, pure ML and/or statistical models drive more value.”

Process ramping often exposes additional sources of variability. “You’re not going to eliminate variability, but the key driving force in all these process control solutions is to reduce variability and catch it early,” said Anjaneya Thakar, senior director of product line management for manufacturing at Synopsys. “In high-volume, manufacturing data is available for every wafer, and you can use that to control your variability — for instance, detecting when a process begins to drift away from the mean. With a very accurate model of the process, you can tune your recipe to minimize that variability. And if you do that, you will get maximum yield.”

Others concur that eliminating all variability in fabs is not feasible. But being more proactive in identifying drift and adjusting parameters in real-time is necessary, and that can be aided by ML and AI.

“In the past what engineers cared about is, ‘How do we ensure that the outcomes are going to be perfect?’ Theoretically, if the process is exactly the same, the output should be exactly the same. But that’s just fundamentally impossible nowadays,” Tignis’ Herlocker said. “The level of variation that can affect these cutting-edge processes is so small that managing the variability requires a different approach. We focus on advanced closed-loop process control. We have demonstrated that we can build a controller using AI to prescriptively tell tools what dial(s) to turn to keep process quality.”

Run-to-run control has been used in fabs for some time, but typically it uses rule-based programs derived from an equation to track drift or adjust one input when an output changes. “In a fab with run-to-run control, you still have variation,” said Michael Yu, vice president of advanced solutions product group at PDF Solutions. “By adding AI/ML you use a much larger data set, and data scientists can optimize the run-to-run yield with additional factors that may not be obvious. Today we’re using an AI/ML now to discover and improve the run-to-run.”

Fig. 4: Typical process drift without AI/ML advanced process control Source: Tignis

Fig. 4: Typical process drift without AI/ML advanced process control. Source: Tignis

Fig. 5: Reduced process drift with AI/ML advanced process control. Source: Tignis

Fig. 5: Reduced process drift with AI/ML advanced process control. Source: Tignis

Control actions are designed to be predictive or prescriptive.

“There are two types of ML being used in fabs today — predictive and prescriptive ML solutions,” said David Park, vice president of marketing at Tignis. “Predictive ML solutions analyze data that is used to identify correlations, which can lead to, or have previously resulted in, an excursion. Once a correlation is validated, it can be turned into a persistent rule that can be used to alert when future excursions are about to occur. Prescriptive solutions use ML to modulate the inputs to the process to maintain the desired CD (critical dimension) for that process step, essentially controlling manufacturing drift. This results in significantly reduced process variance, which in turn results in less rework and scrap, benefiting corporate ESG initiatives. In addition, those same ML models can help reduce chamber cleanings and PMs that were intended to correct drift, since the ML model is able to maintain process CDs for longer periods of time. “

These ML methods have been highly successful in both advanced and mature CMOS processes.

“We have a customer that is using Tignis ML models at multiple process steps to significantly reduce CD error,” Park said. “This is a mature fab with minimal FDC data on an older process node. They were able to implement this in a couple of months.”

In another application, equipment makers are using AI to aid in tool design and operation. “There are many success stories of AI/ML applications that accelerate every stage of our customer’s product lifecycle, from R&D to ramp to high-volume manufacturing,” said Regina Freed, vice president of AIx solutions at Applied Materials. “AIx is designed to accelerate process R&D by 2X, and widen process windows by 30%. Inside of tools we combine AI models with image processing to enable active control of parts of our tools, such as endpoint and uniformity control in CMP. AI-driven predictive analytics helps customers detect and mitigate process excursions, optimize their fleets, and perform preventative maintenance – including using digital twins of our tools for timing optimization.”

Process management and big data
With big data connected across the manufacturing flow, engineering teams can connect electrical test data back to equipment history and tie variability in operations to different fab or assembly areas. Using ML, those teams can improve their response time to yield excursions. Moreover, big data and ML can capture multi-variate relationships between formerly disparate data sources.

“Multi-variant is important, but what is equally important is the volume of data. I can build a model for yield based on one wafer lot (i.e., 25 wafers),” said Synopsys’ Thakar. “ML provides a significant multivariate model. But that model could be vastly improved if I use data from 5,000 wafers and then 500,000 wafers.”

Part of yield excursion management is the ability to find the smoking gun (i.e., root cause). Combining wafer equipment history, process control monitors, and electrical test is a huge challenge. First the test data analysis needs to determine that you are seeing a real signal rather than focusing on a random occurrence, for example.

“With this root cause analysis tool you have to be confident that you’re actually seeing a signal, and you have to be able to see the signal across multiple lots. We assign a confidence metric — high, medium and low,” said Jeff David, vice president of AI solutions at PDF Solutions. “The signals you pay attention to are the ones with high confidence. For those failures, you then investigate further.”

Identifying the cause requires drilling through the various layers in a fab’s enormous data set. For example, engineers identify process steps and specific equipment. Next, to determine a cause, engineers whittle thousands of parameters down to tens of parameters.

“Here is an interesting example from one of our customers,” said PDF’s Yu. “They had a yield excursion. It was difficult to understand the cause because the foundry was well controlled. A diagnostic process identified 10 process steps to investigate further. We looked at all the sensor information from all the equipment, on the order of thousands of sensors per equipment. Then we used AI/ML algorithms, which identified a specific etcher. When you etch a wafer, you get a polymer deposit on the chamber. The condition of the chamber gets worse over time. Maintenance cleans the chamber per wafers runs, e.g., 100 to 1,000 wafers. The data pointed out that this etcher can only process a small number of wafers before needing a cleaning. One sensor pointed to the instability of certain parameters. Then we drilled down further and discovered that in a previous PM, an O ring was incorrectly replaced. This resulted in gas leakage into the chamber, which changes the chamber condition.”

More ML apps to come
With software platforms providing AI/ML capabilities for capturing the complex interactions of a single process step, fab engineers now have better tools for developing process recipes at the early stages and ramping stages of a process. Engineers can use these same approaches for advanced process control, which eventually can enable autonomous real-time adjustments. During HVM management AI/ML platforms can continue to assist engineers in recipe refinement and in responding to yield excursions.

More solutions will come from analytics developers and equipment suppliers. “There is still much to do within manufacturing, and that will be our focus for now,” said Tignis’ Park. “However, we currently are looking at leveraging post-wafer fab data to supplement the ML decisions we are making for manufacturing.”

Comparisons of materials properties may help the industry identify less environmentally impactful chemistries, or reduce the use of fluorinated compounds with high global warming potential. “We believe that the acceleration of multi-physics, multi-scale models to discover new chemicals/materials in the process space will be of high value,” said Applied’s Freed. “In addition, we are prioritizing equipment health and performance improvements using AI.”

Correlating process and design data is also underway. “By going all the way back to the design layout, we can determine with ML what areas are likely to fail, and this is enhanced given previous failure modes,” said PDF’s David. “Essentially this can allow us to train a model to predict failures without a large amount of up-front data for a given chip product, which solves the pain point of having to collect lots of data on individual chip products before a predictive model can be deployed.”

References
1. Kanarik, K., et al. “Human–machine collaboration for improving semiconductor process development,” Nature 616, 707–711 (2023). https://doi.org/10.1038/s41586-023-05773-7

Related Reading
Balancing AI And Engineering Expertise In The Fab
Results show big improvements when both are deployed for new process development.
Using ML For Improved Fab Scheduling
Researchers are using neural networks to boost wafer processing efficiency by identifying patterns in large collections of data.
Managing Yield With EUV Lithography And Stochastics
How overlay, roughness and edge placement contribute to yield.
Using Data More Effectively In Chip Manufacturing
Feed-forward and feed-back become essential as the cost of chips rises, but making this all work will take time.



Leave a Reply


(Note: This name will be displayed publicly)