Reflections On Photomask Japan 2023: Embracing The Era Of Curvilinear Masks


In April, 2023, I had the privilege of participating in Photomask Japan 2023 (PMJ2023), a web conference that brought together experts and enthusiasts in the field. The conference commenced with an enlightening keynote talk by Dr. Kurt Ronse of imec on the status and challenges of the high NA EUV ecosystem, presenting roadmaps for the introduction of high NA EUV. I would like to express my grat... » read more

Deep Learning (DL) Applications In Photomask To Wafer Semiconductor Manufacturing


Published by the eBeam Initiative Member Companies (February 2023), this list of artificial intelligence (AI) systems used by member companies in their semiconductor manufacturing products shows progress. New examples of systems using AI include: image processing and parameter tuning in lithography tool mask metrology system B-SPline Control Point generation tool sem... » read more

Multi-Beam Mask Writers Are A Game Changer


The eBeam Initiative’s 11th annual Luminaries survey in 2022 reported strong purchasing predictions for multi-beam mask writers, enabling both EUV and curvilinear photomask growth. A panel of experts debated remaining barriers to curvilinear photomask adoption during an event co-located with the SPIE Photomask Technology Conference in late September. Industry luminaries representing 44 compan... » read more

High-NA EUV Complicates EUV Photomask Future


The eBeam Initiative’s 11th annual Luminaries survey in 2022 reported EUV fueling growth of the semiconductor photomask industry while a panel of experts cited a number of complications in moving to High-NA EUV during an event co-located with the SPIE Photomask Technology Conference in late September. Industry luminaries representing 44 companies from across the semiconductor ecosystem partic... » read more

Photomask Shortages Grow At Mature Nodes


A surge in demand for chips at mature nodes, coupled with aging photomask-making equipment at those geometries, are causing significant concern across the supply chain. These issues began to surface only recently, but they are particularly worrisome for photomasks, which are critical for chip production. Manufacturing capacity is especially tight for photomasks at 28nm and above, driving up ... » read more

Survey: 2022 Deep Learning Applications


The 2022 member list of deep learning projects and products that eBeam members are working on in photomask to wafer semiconductor manufacturing. Participating companies include Advantest, ASML, Canon, CEA-LETI, D2S, Fraunhofer IPMS, Hitachi High-Tech Corporation, imec, NuFlare Technology, Siemens Industries Software, Inc.; Siemens EDA, STMicroelectronics, and TASMIT. Click here to see the su... » read more

Optimizing VSB Shot Count For Curvilinear Masks


The increased photomask write time using a variable-shape e-beam (VSB) writer has been a barrier to the adoption of inverse lithography technology (ILT) beyond the limited usage for hot spots. The second installment of this video blog looked at the challenge in depth. In this five-minute panel video with industry luminaries, Ezequiel Russell describes the collaborative study between his company... » read more

What About Mask Rule Checking For Curvilinear Photomasks?


The entire photomask design chain needs to be considered in the adoption of curvilinear photomasks. A broad look at the ecosystem impact was addressed in a previous video but a more in-depth look at the design chain of photomasks raises the next question – will MRC be harder and take more time? Aki Fujimura of D2S opens the nine-minute panel video with industry luminaries by providing a conce... » read more

Developing A New Curvilinear Data Format


The data size generated by curvilinear masks could impact turnaround time (TAT) for photomask production and hence the adoption of curvilinear masks. In a previous blog on curvilinear masks, our panel of luminaries discuss some possible solutions in a video discussion. In this seventh video, the panel looks at some ideas to define a new curvilinear data format to reduce file sizes. Aki Fujimura... » read more

How Will The Adoption Of Curvilinear Masks Affect Turnaround Time?


Turnaround time (TAT) for photomask makers has historically increased at smaller and smaller process nodes, as reported in the eBeam Initiative Mask Makers surveys, so it’s important to look at the impact of curvilinear masks on TAT. In this sixth installment of our blog series on curvilinear masks, Aki Fujimura of D2S explores this question with luminaries in the industry during a video pane... » read more

← Older posts