Demand, Lead Times Soar For 300mm Equipment


A surge in demand for various chips is causing select shortages and extended lead times for many types of 300mm semiconductor equipment, photomask tools, wafers, and other products. For the last several years, 200mm equipment has been in short supply in the market, but issues are now cropping up throughout the 300mm supply chain, as well. Traditionally, lead times have been three to six mont... » read more

The Quest For Curvilinear Photomasks


The semiconductor industry is making noticeable progress on the development of advanced curvilinear photomasks, a technology that has broad implications for chip designs at the most advanced nodes and the ability to manufacture those chips faster and cheaper. The question now is when will this technology move beyond its niche-oriented status and ramp up into high-volume manufacturing. For ye... » read more

How Do Multi-Beam Mask Writers Enable Curvilinear Shapes On Photomasks?


Multi-beam mask writing was identified as one of the ways to eliminate hurdles to manufacturing curvilinear mask shapes in the last installment of this blog. Our blog series continues with an educational video explanation of why and how multi-beam writers reduce write time for curvilinear mask shapes that took place during an eBeam Initiative panel discussion with industry experts during the 20... » read more

AI In Inspection, Metrology, And Test


AI/ML is creeping into multiple processes within the fab and packaging houses, although not necessarily for the purpose it was originally intended. The chip industry is just beginning to learn where AI makes sense and where it doesn't. In general, AI works best as a tool in the hands of someone with deep domain expertise. AI can do certain things well, particularly when it comes to pattern m... » read more

EUV Challenges And Unknowns At 3nm and Below


The chip industry is preparing for the next phase of extreme ultraviolet (EUV) lithography at 3nm and beyond, but the challenges and unknowns continue to pile up. In R&D, vendors are working on an assortment of new EUV technologies, such as scanners, resists, and masks. These will be necessary to reach future process nodes, but they are more complex and expensive than the current EUV pro... » read more

Mask/Lithography Issues For Mature Nodes


Semiconductor Engineering sat down to discuss lithography and photomask issues with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Harry Levinson, principal at HJL Lithography; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation. ... » read more

Masks, Models And Alternative Lithography


Every February an outstanding group of eBeam luminaries gathers at events hosted by the eBeam Initiative during the SPIE Advanced Lithography conference. It was our 10th annual lunch with standing room only attendance again this year. It’s an honor to get to know some of these very talented people. We started producing videos for the community over five years ago to share more of their storie... » read more

Getting Ready For EUV


The highly anticipated introduction of extreme ultra-violet (EUV) lithography is reflected in recent surveys conducted by the eBeam Initiative, which will be presented on Sept. 11 at the annual Photomask Technology Symposium in Monterey, Calif.  There are many changes are coming to the mask industry, in addition to EUV. Those include greater use of inverse-lithography technologies (ILT) and... » read more

The Week In Review: Manufacturing


What was the mood at this week’s SPIE Litho? “EUV sentiment is improving among chipmakers as ASML makes progress toward HVM metrics; however, there is still much hedging around timing and readiness. We view EUV adoption as likely to be slow and gradual through 2020,” said Weston Twigg, an analyst with Pacific Crest Securities, in a report. “In order for ASML to hit the higher levels of ... » read more

Conquering Heat Issues In e-beam Lithography


By Noriaki Nakayamada What's the best way to deal with the menacing heating effects in VSB mask writing? The answer lies in part on leveraging GPU acceleration. Check out this video on what's ahead for multi-beam mask writing. [youtube vid=Ij2l3hk6aFg] —Noriaki Nakayamada is group manager at NuFlare Technology. » read more

← Older posts Newer posts →