A Wrap-Up Of Photomask Japan 2024

New materials, tools, and approaches for next-generation mask blank development and defect detection.

popularity

This year, I had the opportunity to attend the 30th Symposium on Photomask and NGL Mask Technology, also called Photomask Japan 2024 (PMJ), in Yokohama, Japan, from April 16 to 18. This well-regarded symposium brings together engineers from all over the world to share ideas on photomasks, NGL masks and related technologies.

It was great being back to an in-person conference after four years of online conferences. The numbers speak for themselves: most people prefer in-person conferences to online events. Almost 500 participants attended PMJ this year while only 250 attended last year’s online conference. Can we say in-person beats online by a factor of 2?

The future of computing

After the opening remarks by the Symposium Chair Takeo Watanabe, Shintaro Yamamichi of IBM Research started the conference with an impressive keynote presentation about the future of computing: bits, neurons, and qubits. Yamamichi-san says, “Despite the endless transistor evolution in the past, a fundamental challenge, which might be a road blocker for future IT services, appeared in front of us, which is the huge power consumption for AI workloads, especially in data centers.” Most of the electrical power is consumed at the communication between the processors and memories in von Neumann architectures. Therefore, the optimization of memory hierarchy, or completely new chip architectures mimicking biological human brain operations, are being developed. Such a chip has already been developed by IBM and has shown 25x energy reduction for image recognition and 17x reduction for speech-to-text applications.

Ideas, tools and materials for masks

In an invited talk, Takahiro Onoue of HOYA shared his perspective on new generation EUV mask blank development. While tantalum-based absorbers enable the first generation of EUV masks, going forward we have to look at other materials to enable low-n attenuated phase-shift masks (PSM) that are also compatible with the use of hard masks and have high enough etch selectivity between the PSM and the cap layer. On an interesting side note, Onoue-san reported that HOYA successfully demonstrated the polishing of a 6″ x 12″ x 0.4″ substrate as proof of concept for larger masks. He sees the main challenges for larger masks on the business side, not on the technical side.

A key enabler for continued mask blank development and zero phase defect masks is the availability of actinic blank inspection of EUV masks. Ryo Watanabe of Lasertec shared in his invited talk the latest development of their actinic blank inspection tool for high-NA EUV masks. High-NA EUV masks require detecting even smaller defects. Lasertec’s plan is to release their high-NA tool by end of 2024, enabling detection of 30 nm defects, only 1 nm in height, with a coordinate accuracy of 10 nm.

Related to high-NA EUV exposure, Kiwamu Takehisa of O2 Laser Laboratory shared an interesting idea of using two-mask stage scanners for high-NA EUV instead of increasing the mask size. The benefit of increased mask size is higher throughput and the elimination of the stitching area, both high value improvements compared to the current generation of high-NA. However, the introduction of larger mask blanks comes at an enormous cost in the equipment industry. In a simulation study, Takehisa-san showed that a two-mask stage could be up to 1.5x faster than a single mask stage. In this case, two half-field masks would still be stitched on wafer and only the scanner design would need to be changed.

eBeam Initiative survey and computational solutions

Meanwhile, a tradition at PMJ is the presentation of the eBeam Initiative survey by Aki Fujimura of D2S. Key takeaways from last year’s industry luminaries opinion survey are that a vast majority of participants, representing 47 companies across the industry, believe that high-NA EUV will be in high-volume manufacturing at more than one semiconductor company by 2028. In addition, curvilinear masks are reality. Eighty-seven percent of respondents think that leading-edge mask shops can handle at least a limited number of curvilinear masks.

Fig. 1: Eighty percent of participants expect High-NA EUV adoption by 2028. Source: eBeam Initiative Survey

In the same session, which focused on computational solutions for writing/metrology, I had the opportunity to share our vision of mask process corrections (MPC) fully integrated with the corrections typically applied on e-beam mask writers. Our results show that tight control of the mask linearity signature is possible even for extreme exposure conditions, achieving model error RMS values in the range of 0.3 nm measured on mask.

Fig. 2: Integrated correction flow of MPC and e-beam writer corrections. More info: Siemens

The future of lithography and more

The second day of the conference started with an incredibly detailed keynote from Jo Finders of ASML about “The next decade of lithography.” Besides sharing the milestones of lithography from i-line to high-NA EUV, he shared ASML’s vision of improved imaging for future nodes. This includes a reduced pupil fill ratio enabling lower k1 at increased contrast as well as the vision of a hyper-NA system for single exposure at <10 nm pitch. For NA above 0.55, a multilayer with larger angular reflectivity is needed, as the current multilayer shows insufficient reflectivity for 0.75 NA and beyond. More complex, aperiodic multilayers are an option being discussed in this context.

EUV process, best papers

The opening session of day 3 was from Changju Choi of Intel, who talked about EUV process technologies. Two following sessions were invited talks of the awarded best papers from BACUS 2023 and EMLC 2023. The BACUS 2023 best paper, “Actinic pattern mask inspection for high-NA EUV lithography,” was presented Hiroki Miyai of Lasertec. The best paper winner from EMLC 2023, presented by Mathias Tomandl of IMS, was “Multi-beam mask writing opens up new fields of application.” Both papers are worth checking out.

Being back in Yokohama for the 30th anniversary of PMJ was wonderful. The next PMJ conference is planned for April 16 to 18, 2025 in Yokohama, Japan, and I look forward to another great in-person conference.



Leave a Reply


(Note: This name will be displayed publicly)