Executive Insight: Aki Fujimura

D2S’ CEO peers into the future of lithography, photomasks, and what happens at 5nm.

popularity

Aki Fujimura, chief executive of D2S, sat down with Semiconductor Engineering to look at the key issues in lithography and photomasks, as well as the changes taking place in the IC industry. What follows are excerpts of that conversation.

SE: The semiconductor market is changing on several fronts. On one front, there is a wave of consolidation in the industry. And then there is a slowdown in the IC market this year amid sluggish growth for smartphones, a pause in China and other factors. From your vantage point, what’s happening in the industry?

afujimuraFujimura: The semiconductor market is definitely a very cyclical business. There will be ups and downs. People are saying that this is not going to be one of those up years. Some worry about it, saying it’s a long term trend. Some look at it as the beginning of the end. That’s not the case at all. Today’s market is really the continuation of a cyclical trend. Adjustments are needed because things are changing so quickly. And who needs more computing is changing. Whenever changes like that occur, markets in general have to adjust. Some companies will have to learn how to do different things. And things that used to make a lot of money may slide a bit, while other things will pick up the pace. Does that mean there are no opportunities out there? Of course, there are a lot of opportunities. The market is just changing.

SE: What else do you see?

Fujimura: The market is definitely shifting. But I don’t think we’re in a mature market like the railroad business. Semiconductors are still exciting and things are changing all the time. The leading-edge continues to carve away at new territory. It’s going to be interesting to see how much computing power, for example, is available and going to be available. We, in the software domain, think about how we can continue to harness the increase in computing power that’s going to be available two, four, six and eight years from now. And that kind of tension in Moore’s Law is what has fueled our lives. I don’t think it’s going to end for quite a long time.

SE: Maybe Moore’s Law will continue, but fewer and fewer companies can afford to design chips at the leading edge, right?

Fujimura: That’s just because of cost. At the leading edge nodes, I do believe the number of designs is decreasing. But there are still plenty of products that need to be ported to the next nodes. For example, GPUs and FGPAs come to mind. Those applications will continue to evolve.

SE: What else?

Fujimura: When 7nm comes out, for example, not everyone will use 7nm to develop new chips. But it’s also not true to think that, therefore, nobody will ever use 7nm.

SE: How far out is your visibility?

Fujimura: The visibility and activities that we see are targeting 5nm.

SE: Let’s move to the equipment business. Where is the industry with extreme ultraviolet (EUV) lithography today?

Fujimura: The state of EUV technology is much better than a year ago or two years ago. The question is whether much better is good enough for production. Specifically, people talk about the power source. The other question is the uptime. The question is how long is it going to take to get to the point where EUV is reliable enough that it’s not down half the time.

SE: Is the photomask industry ready to deliver EUV masks?

Fujimura: The mask community has always said: ‘We are not going to be the bottleneck for EUV. We’ll figure it out.’ There are things that need to be addressed. None of those things are going to be in the way of productizing EUV. Many things are in the category of being finished, rather than some type of fundamental innovation that is required. The only exception is the pellicle question.

SE: What are the big challenges for mask makers today?

Fujimura: One of things we are trying to do at the eBeam Initiative is to get the world to understand and appreciate the role of this technology. The source of accuracy and precision in semiconductor manufacturing really comes from the mask. The mask makers are being asked to do a lot. Mask precision and accuracy are increasing at the same time as the shape counts on the masks are increasing. At the same time, the complexity of the shapes on the mask is increasing. So therefore, mask making is harder in terms of the amount of stuff you have to do in the same area and in the same amount of time. Yet, the amount of time you have to spend isn’t increasing. The budget is sometimes the same. In some cases, it’s shrinking.

SE: Can you briefly elaborate on mask complexity?

Fujimura: Leading-edge technology nodes are using more and more inverse lithography technology or similar technologies, which involve more complex mask shapes.

SE: It is also getting complex in other ways, right?

Fujimura: The average number of masks in a mask-set is 77 for less than 11nm. The maximum number of masks per mask-set has gone above 100 for the first time. Let’s compare that to 65nm. That was 40.

SE: What’s the bottom line here?

Fujimura: Each mask is not getting cheaper. If the number of masks is increasing, it’s getting more expensive. The cost for a mask-set is going up.

SE: Let’s talk about mask writing. Typically, the industry uses a single-beam e-beam mask writer. What are the challenges?

Fujimura: The complexity of the shapes you have to write is increasing. And on top of that, the amount of precision with which you have to write is increasing. Of course, with all of those things put together, it’s more expensive to do that.

SE: Today’s single-beam e-beams are based on variable shape beam (VSB) technology. Can VSB keep up with Moore’s Law?

Fujimura: Beam current is one indicator. If you want to keep the mask write times constant, the only thing you can do is to increase the beam current. And that’s if you don’t change anything regarding the shot count.

SE: What do you mean by shot count?

Fujimura: In today’s e-beam technology, you shoot one shot at a time. So how many shots there are is predominately the determining factor of how long it takes to write a mask. And how long it takes to write a mask is the principal determination of both the cost of the mask and also the yield of the mask

SE: NuFlare has been increasing the beam current with its VSB systems. Today, the current density for NuFlare’s EBM-9500 is 1,200A/cm2. That’s probably at the limit of what can be achieved. So, the industry needs multi-beam mask writing. For some time, IMS Nanofabrication has been developing a multi-beam mask writer. Then, earlier this year, Intel acquired IMS. What does this mean for the industry?

Fujimura: First of all, it says that mask writing is an important field. And specifically, the world recognizes that multi-beam mask writing is important for the future. It’s a positive thing regarding Intel’s investment in IMS as well as the progress IMS is making.

SE: NuFlare recently entered the multi-beam mask writer market. That’s a big development, right?

Fujimura: NuFlure’s announcement, combined with Intel’s move, indicates that multi-beam is important. Plus, it’s also an indication that the world wants two multi-beam suppliers. NuFlare is very healthy. And IMS probably needed a little more injection of money. It just takes a lot of money to do this.

SE: When do mask makers really need multi-beam mask writing?

Fujimura: NuFlare has always said that it would be great to have multi-beam for EUV masks. NuFlare basically addressed that by saying you need it at 200 gigashots per pass. So, the cross-over point where multi-beam becomes advantageous over VSB mask writers is 200 gigashots per pass. Overall, the majority of the masks do not require that.

SE: How will multi-beam mask writing help the industry?

Fujimura: It’s more the question of how mask makers are being constrained. With VSB, you may not want to go over that figure. If you have too many shots, you really can’t control the yield on that. So you don’t want to take on those types of shot counts. If we had a multi-beam machine, would we get more types of complex masks? Over time, yes. But it won’t happen instantly.

SE: What are the other benefits?

Fujimura: Multi-beam would help chipmakers even more by enabling more complex mask shapes that can be manufactured reliably. That’s a big part of the need for multi-beam. That enables better manufacturability and an increase process margin for the wafer.

SE: Intel’s move to acquire IMS represents a possible trend. One of the big issues for equipment makers is R&D costs. Chipmakers help to fund certain projects, but we’re beginning to see a different model. For example, Intel, Samsung and TSMC invested in ASML. And then you had the Intel-IMS deal. Any thoughts?

Fujimura: The technology is really expensive. So, it’s great that companies like Intel, TSMC and Samsung are involved. They are the big consumers of these things. We need their sponsorship to continue to push the leading edge.

SE: Do we need to see more of this type of R&D funding for the equipment industry?

Fujimura: We need to see more. This is especially true in the mask industry. One of the ways the industry can help keep mask costs down is to sponsor some of the research areas.

SE: What areas besides multi-beam mask writing?

Fujimura: Mask inspection is one of the areas.

SE: Let’s move to mask data preparation. What are the challenges here?

Fujimura: What you want to print on the mask are small and/or complex shapes. That’s not just straight edges, but curvilinear edges or jagged edges. Because of the complexity of the shapes and sizes of the shapes, the sub-resolution assist features or SRAFs are getting smaller on the mask.

SE: What else?

Fujimura: E-beam mask writing is incredibility accurate. But even for the e-beam, the features are too small to be able to do it the way you want. It’s no longer what you see is what you get anymore. That broke at about 200nm widths. That used to be the limit. And then, it came down to 100nm. With 100nm and square features, you can pretty much do what you see is what you get within 1nm tolerances. But below that, it gets compromised more and more. At 50nm or so, it becomes just totally intolerable. If you print a 50nm square, it is not going to be a square shape at all. It will be round. It would resemble a circle and it will be a smaller circle.

SE: Then, you need to do something different, right?

Fujimura: Because the shapes are getting smaller and more complex, you can’t do that by just printing that on the mask anymore. You have to do that using simulation-based processing.

SE: When did that change?

Fujimura: When the size of what you wanted was 80nm or 70nm wide, which is like four years ago, you could do rule-based processing. When what you’re modifying isn’t different depending on the context, you can just do rule-based. But when it becomes more complex in shape, and when it becomes more context-dependent, rule-based is very difficult to make happen.

SE: What’s the solution?

Fujimura: You can keep making the rules more and more complex over time. But at some point, it breaks down. It’s easier to do it with simulation-based. Simulation-based is context sensitive. It understands the context, because it actually understands the physical nature of what’s going on.

Related Stories
7nm Lithography Choices
Where Is Next-Gen Lithography?
Where Is Next-Gen Lithography? (Part 2)
Where Is Next-Gen Lithography? (Part 3)



1 comments

memister says:

SRAFs for EUV are the real troublemaker. The height:width aspect ratio is also >1. Much harder than for immersion, which has coarser resolution.

Leave a Reply


(Note: This name will be displayed publicly)