Next EUV Challenge: Pellicles

Protecting photomasks at high temperatures is proving difficult and expensive.

popularity

Extreme ultraviolet (EUV) lithography is still not ready for high-volume manufacturing, but the technology is at least moving in the right direction.

Both the EUV light source and resists are making noticeable progress, even though there are still challenges in the arena. And then, there is the EUV mask infrastructure, which also has some gaps.

“When EUV is ready, the mask industry will respond to supply the needed masks,” said , chief executive of D2S. “But there are still significant challenges, most notably the questions that remain with pellicles at the full power of the light source, and with actinic inspection.”

Actinic technology uses the same 13.5nm wavelength as EUV for inspection purposes. Chipmakers want actinic technology for EUV mask inspection, but the industry is still several years away from developing this tool type. So for now, chipmakers will use existing inspection tools for EUV masks.

EUV pellicles are a different matter, however. Basically, a pellicle is a thin, transparent membrane that covers a photomask during the production flow. The pellicle is a dust cover, as it prevents particles and contaminates from falling on the mask. It also must be transparent enough to allow light to transmit from the lithography scanner to the mask.

EUV pellicles are required to put EUV lithography into mass production, at least for logic chips. If a particle lands on an EUV mask, the scanner would likely print an unwanted defect on a wafer.

And just one defect is a disaster, especially for logic. “If we have one defect, that means the whole die is gone,” said Banqiu Wu, principal member of the technical staff and chief technology officer for the Mask and TSV Etch Division at Applied Materials.

Memory is a different story, as these chips have built-in redundancy features. For that reason, memory may or may not require EUV pellicles.

So what’s the problem? ASML, the sole supplier of EUV pellicles in the industry right now, is still in the prototype and/or pilot line stage with this technology. ASML’s EUV pellicles for production are expected to ship by mid-2017.

But it’s unclear if EUV pellicles will be ready in time, which, in turn, could hold up the EUV train. In fact, there are a multitude of challenges with the technology.

For example, ASML’s polysilicon-based EUV pellicle, which is just 50nm thick, must withstand an enormous amount of heat. When EUV light hits the pellicle, the temperature of the membrane will heat up anywhere from 600 to 1,000 degrees Celsius.

In theory, the pellicle will dissipate the heat. But at those temperatures, there are also fears that the EUV pellicle could deteriorate during processing, causing damage to the EUV mask and scanner.

“The real concern for me is pellicle breakage,” said Harry Levinson, senior fellow and senior director of technology research at GlobalFoundries. “When you have the light being absorbed in a very narrow band on the pellicle, you get a very large temperature gradient. The stress could cause the very thin and fragile membrane to rupture. Everyone understands this is an issue. Bright minds are working on it, and we just have to see if we can find solutions.”

The ongoing issues with the pellicles are prompting several entities, such ASML, IBM, Imec, Intel, Samsung and others, to step up their respective R&D efforts in the arena.

To solve the problem, the industry is developing a new class of EUV pellicles with promising heat dissipation materials. In the lab, the industry is also developing several next-generation membrane technologies, based on carbon nanotubes, graphene and silicon nitrite.

Each technology has various tradeoffs. For example, the polysilicon-based pellicles are promising. But the EUV mask with the polysilicon-based pellicle on top can’t be directly inspected for defects using today’s inspection tools. It requires a workaround, namely a removable pellicle solution.

All told, IDMs and foundry customers must keep close tabs on the status of EUV and the associated infrastructure, including the pellicles.

Pellicles or no pellicles?
Pellicles are an important part of the IC-manufacturing supply chain. Today’s optical photomasks consist of an opaque layer of chrome on a glass substrate. In the production flow, a scanner tends to generate unwanted particles and contaminates. So for decades, the industry has used a pellicle on the mask. The pellicle for an optical mask is based on a thin polymer material.

EUV masks are different than traditional photomasks. An EUV mask blank consists of 40 to 50 alternating layers of silicon and molybdenum. The multi-layer stack serves as a mirror or reflector for EUV light.

Once the EUV mask is fabricated, it is placed in an EUV lithography scanner. The tool projects light through the mask, which, in turn, patterns the images on a wafer.

Not long ago, the industry insisted that EUV masks did not require a pellicle. The industry believed that the EUV scanner would remain 100% clean during the process flow. As a result, EUV masks would supposedly remain particle free.

Recently, though, chipmakers changed their position, saying there is no guarantee that the EUV scanner or other tools can remain 100% clean during the flow. Without a pellicle, according to chipmakers, the EUV mask is prone to particles and defects.

All told, the industry wants an EUV pellicle. “If there is no pellicle, EUV can still work,” Applied’s Wu said. “But if there is no pellicle, you must have good control. You must make sure that no add-on particles (land on the mask).”

This, of course, is difficult to prevent in the fab. “That’s why the pellicle has become more important,” Wu said.

EUV pellicles are critical in other respects. “Handling and storage (of EUV masks) are very difficult if there is no pellicle,” Wu said. “If we have a pellicle, this work is much easier.”

An EUV pellicle is a given for logic, but not necessarily for the production of DRAMs. “The question is what is your manufacturing environment like and how often are you switching masks,” said David Fried, chief technology officer at Coventor. “In the memory side of the business, they switch masks far less often. If you are switching masks far less often, you may have an environment that could exist without a pellicle.”

But if the industry uses an EUV pellicle, it must meet various requirements in three basic categories—transmission rates; thermal loads; and productivity.

Basically, the EUV source must transmit light through the pellicle and onto the mask at a high rate. “The EUV mask is reflective. The light goes through the pellicle. Some of the light is absorbed. It is reflected on the surface of the mask with some reflectivity loss,” said Dario Goldfarb, a research staff member at IBM’s Watson Research Center. “There is also a second pass of light coming out of the EUV mask. It has to go through the pellicle again. So actually, there are two passes.”

For production, the industry wants an EUV pellicle with a transmission rate of 90% (single pass) and 81% (double pass). So far, though, the initial polysilicon-based EUV pellicles from ASML have transmission rates of about 85% (single pass), which is still short of the industry’s target levels.

“There has been nice progress with pellicles, but the problem is that productivity loss is too high,” said Seong-Sue Kim, a technical staff member within the Semiconductor R&D Center at Samsung.

ASML will likely solve this problem in the near term, but the thermal load is an entirely different matter. In 2016, for example, ASML is expected to upgrade the power source for its EUV scanners from 80 watts to 125 watts.

“In reality, 125 watts propagates through the seven mirrors or so inside the EUV scanner. So, you have a reflective loss. In every mirror, you lose 30% of the light,” IBM’s Goldfarb said. “By the time 125 watts reaches the EUV pellicle, you have an equivalent of 2.8 watts per square centimeter (in terms of peak power incident of heat on the pellicle).”

At 2.8 watts per square centimeter, the temperatures of a polysilicon-based EUV pellicle could range anywhere from a staggering 900 to 1,000 degrees Celsius, according to analysts. The melting point of silicon is 1,414 degrees Celsius.

At 125 watts, the temperatures of a polysilicon-based EUV pellicle could range anywhere from 900 to 1,000 degrees Celsius.

To solve the problem, ASML is developing EUV pellicles with a new heat dissipation layer. With that, the temperature of a polysilicon-based pellicle would fall at or below 600 degrees Celsius, according to Derk Brouns, a system engineer with ASML.

Heat impacts only a small part of the pellicle. “The EUV light doesn’t focus on one area all the time,” Applied’s Wu said. “So, the energy doesn’t focus on one area all the time. The bad thing is that there is a temperature gradient. That means the temperature of the area exposed by the EUV light is high. The heat on the neighboring area is low.”

As before, though, chipmakers want a 250-watt source to put EUV in mass production. A 250 Watt EUV source generates 5 watts per square centimeter of heat on a pellicle. This translates to roughly 686.1 degrees Celsius, analysts said.

But to date, ASML and others have not developed a production-worthy pellicle that can withstand those temperatures. “Good progress has been made in EUV pellicle development in materials, tooling and infrastructure,” said Ted Liang, a mask technologist for Intel’s Mask Operation, in a recent presentation. “But pellicle films capable of long lifetime at 250 watt EUV remain a critical gap in pellicle implementation for HVM.”

So far, Intel has tested EUV pellicles from ASML with a 40-watt source. The results are promising, but the industry requires some major breakthroughs.

New breakthroughs?
The industry is moving full speed ahead with EUV pellicles with various and new heat dissipation materials. In general, there are three basic ways to dissipate the heat in a material—conduction, convection and radiation.

Radiation, according to experts, is the only way to dissipate heat in an EUV pellicle environment. The key is to find the best radiation-type materials. This is determined by the emissive properties of a given material. Generally, emissivity measures a material’s ability to emit infrared energy.

So which material has the best emissivity for EUV pellicles? There are several options on the table.

Initially, the industry will use ASML’s polysilicon membrane. The company is developing a pellicle with 90% transmission rates for 250-watt sources, which are expected to ship by mid-2017.

There is a catch, however. Mask makers can’t use existing 193nm mask inspection tools, or e-beam systems, to directly inspect EUV masks with a polysilicon-based pellicle. This material is opaque at the deep ultraviolet range.

Seeking to solve the problem, ASML has developed a retractable pellicle. In a theoretical flow, the EUV mask is manufactured and the pellicle is placed on top. In the inspection process, the EUV pellicle is automatically raised and removed from the mask. Then, once the inspection process is finished, the pellicle is automatically lowered and re-attached to the EUV mask.

Still, there is a chance that a retractable pellicle may experience a glitch in the flow. So long term, the industry wants an actinic-based mask inspection tool, which can inspect a mask without removing the pellicle.

But it could take the industry three to five more years to bring this technology into the market at a cost of around $500 million. And so far, no fab tool vendor has committed the resources to develop such a tool.

It could take 3 to 5 years and $500 million to bring an actinic-based mask inspection tool to market for EUV.

Meanwhile, the industry is also exploring other EUV pellicle types. For example, IBM has been developing a 20nm pellicle based on silicon nitride, which has an 87.3% (single pass) transmission rate. It can be inspected with today’s optical inspection tools without removing the pellicle from the mask.

EUV pellicles based on silicon nitride are limited, however. They can only withstand the heat from an 80-watt EUV source. To solve the problem, IBM is working on developing a heat dissipation layer.

Others are working on membranes based on carbon films, which are still in R&D. “All of these materials are very good in terms of EUV transmission,” Applied’s Wu said. “The key is how to make the film strong enough.”

The results are promising. In the lab, Samsung has explored the development of an EUV pellicle, based on single-crystalline silicon materials with a boron carbide (B4C) capping layer. With a 250-watt source, Samsung’s B4C pellicle heats up to 323 degrees Celsius, compared to 686.1 degrees Celsius for a polysilicon membrane.

Meanwhile, IMEC is working on two next-generation EUV pellicle technologies. “The first is continuous films. These are films that have a uniform thickness and density like silicon nitride. These are studied for basic properties and as potential candidates to be included in a layered solution,” said Emily Gallagher, a principal researcher at Imec. “The second, and more interesting, approach is to look at porous materials that are intrinsically lower density. Low density expands the choices for pellicles. More absorptive materials, like carbon, can be considered.”

Imec is focusing on intrinsically porous materials like carbon nanotubes. “Our first test priority is EUV transmission. Then, the mechanical properties and chemical durability will follow. If all of those metrics are positive, we move to the heat considerations,” Gallagher said.

“Imec’s development is for the HVM systems that are not anticipated for at least two years,” she said. “That said, there is a lot of infrastructure required to support the EUV pellicle that needs to be developed, and I don’t see that being ready on any scale earlier than 2018/19.”

Related Stories
7nm Lithography Choices
EUV: Cost Killer Or Savior?
Is EUV Making Progress?
Gaps Remain For EUV Masks
Resist Sensitivity, Source Power, And EUV Throughput
Next EUV Challenge: Mask Inspection



1 comments

memister says:

Shot noise only makes things worse, need 500-1000W.

Leave a Reply


(Note: This name will be displayed publicly)