2023: A Good Year For Semiconductors

The swing from recession to growth was quick, and new sectors are driving development. It could be the start of a big change in the industry.

popularity

Looking back, 2023 has had more than its fair share of surprises, but who were the winners and losers? The good news is that by the end of the year, almost everyone was happy.

That is not how we exited 2022, where there was overcapacity, inventories had built up in many parts of the industry, and few sectors — apart from data centers — were seeing much growth. The supposed new leaders were fizzling out, and mobile was showing distinct signs of plateauing.

At the beginning of the year, there was not a huge amount of optimism. “2023 was the year that changed everything,” says Ansys director Rich Goldman. “It was shockingly good for semiconductors, when all predictions were to go into a bust cycle. We had companies like NVIDIA doubling and tripling their revenue — now a trillion-dollar market cap. And that was predicated by a new era of AI. ChatGPT came out just one year ago and changed everything. Suddenly, everybody’s running AI, and that all runs on NVIDIA silicon, so NVIDIA shot to the top of semiconductor companies that matter.”

That initial dread was ubiquitous. “When we started the year, it was doom and gloom to some degree,” says Neil Hand, director of marketing, IC segment at Siemens EDA. “People were worried there was going to be a recession and a pullback in the semiconductors and EDA. Our data didn’t show that. For the first part of the year, our message to everyone was, ‘It’s a short blip.’ All of our data shows a second-half recovery, we’re going to be doing great. And lo and behold, that’s how it played out. By the second half, no one was even thinking about being in a downturn.”

Others shared a similar experience. “The first half of 2023 was slower than expected, and the second half has been stronger than expected,” says Ashraf Takla founder and CEO of Mixel. “The uncertainty in the economy resulted in customers pushing their decision-making to later in the year. We went from customers looking for second sources due to limited capacity and long delays in the supply chain in 2021 and 2022, to customers canceling projects late in 2022 and early 2023. Overall, 2023 is ending as good year.”

Good business practices say market indicators are worth noting, but they need to be put in perspective. “Macroeconomic headwinds have created significant short-term challenges for the semiconductor industry,” says Andy Nightingale, vice president of product management and marketing at Arteris. “Zooming out from recent demand fluctuations and looking at the long-term trend over the last two decades, the semiconductor industry has shown consistent growth. I’ve always noted that it is traditional for semiconductor companies to invest in more R&D in the face of any downturn. The 2023 KPMG Global Tech Report backs this assertion, reporting that in 2022, only 10% of those surveyed had leadership buy-in for emerging tech. KPMG says that this figure has nudged forward in 2023 to 38%.”

There is also a headwind gaining strength. “What we’re seeing is the challenge of people,” says Siemens’ Hand. “It’s one of the reasons why AI is so interesting on the EDA side. We just don’t have enough engineers. Our customers don’t have enough engineers, or they don’t have the right engineers.”

Prakash Narain, president and CEO of Real Intent, agrees. “The industry as a whole is struggling with a lack of availability of very talented engineering power. You may have great plans, but if you don’t have talented engineers to execute it, that is where it runs into a little bit of a problem. When it comes to expertise that would go that into the conception of the product, the industry is struggling.”

Market segments
For a long time, mobile phones led the industry. While this sector remains strong, it is no longer seeing the same growth levels as the past. The industry looked toward a raft of new industries to take up the slack — high-performance computing, autonomous driving, AR/VR, AI, crypto and data mining to name a few. Some of this has lagged expectations, but AI is in a full sprint, requiring rapidly accelerating amounts of compute. This is forcing a rethink of traditional architectures, and strongly pushing new technologies such as 3D-ICs.

Automotive continues to move forward, as well. “The automotive semiconductor segment has been incredibly resilient in 2023, despite multiple macro-economic and geo-political headwinds,” says Chet Babla, senior vice president of strategic marketing for indie Semiconductor. “The average semiconductor content per car grew almost 7% in 2023 to hit over $850. This growth was fueled by consumer expectations for immersive in-cabin experiences, and regulatory and wide industry advocacy for improved road safety and the electrification of drivetrains. 2023 has been challenging for multiple reasons, but the automotive semiconductor segment has remained incredibly innovative and successful.”

But when will we get autonomous driving? “I was really surprised to see self-driving cars with real passengers in San Francisco,” says Dean Drako, CEO of IC Manage. “It is crazy that it’s here and happening. It may still be limited, and they have focused on one city. They learned that city really well and figured it all out. The amount of AI in that is inconceivable. I don’t think autonomous vehicles are going to be in general use immediately. There’s going to be self-driving for some cities, and self-driving on the freeways. But it will take more time for a full general solution.”

The big surprise is how fast AI is progressing, and ChatGPT specifically. “I do believe we’ll look back in a couple of years and realize the full impact of generative AI on semiconductors,” says Hand. “This is both about the semiconductors we’re creating, because AI is literally everywhere, in every semiconductor name, but also how we use it. It’ll be as significant as when synthesis first came, and changed the way that EDA and semiconductor did things at a fundamental level.”

It may be knock-on impacts that are the most significant to the industry. “With the OpenAI Generative AI introduction in late 2022, the intensity of the attention on AI/ML and associated architectures beat my expectations going into 2023,” says Frank Schirrmeister, vice president for solutions and business development at Arteris. “As a corollary, a lot of the focus still seems to be on computing architectures. The associated surprise was that there was much less discussion on the systemic data transport architecture challenges (vs. computing), given that what the industry calls the memory wall is growing significantly. That’s, of course, an area that is close to my heart with the associated network-on-chip challenges.”

You only have to look into a data center to see what is happening. “When you look at the high-performance computing (HPC) market, for years and years it was dominated by the Intel x86 architecture,” says Marc Swinnen, director of product marketing at Ansys. “But now it is falling apart into many more specialized HPC architectures. You have the TPU, the GPU, [AWS] Graviton chips, in addition to the CPU. There are a whole bunch of application-specific HPC cores now being made available on the cloud. Before it was very homogenous. A machine was a machine was a machine. One was faster or slower than the other, but now there are different types of processors. We’re enhancing our algorithms to take advantage of this. There is this disaggregation, or specialization, of high-performance compute hardware, and these companies need a lot of design tools.”

While not as big, there are other markets that are making big moves. “What caught my attention was the topic of post-quantum cryptography,” says Arteris’ Nightingale. “As quantum computers become more powerful and are targeted at breaking widely used classical cryptographic algorithms (such as RSA and ECC), the semiconductor industry needs to consider and face the challenges that need to be addressed in this field. Quantum cryptography promises to provide unprecedented levels of security for communication, especially in a future where quantum computers could threaten classical cryptographic methods.”

Other industries, such as AR/VR and crypto mining, have taken a backseat. “At the end of the day the question is, ‘What problem does it solve?'” says Hand. “For some industries, no one could give a clear answer. Those are bound to go very quickly through the hype cycle. When you look at other technology areas, the reality is that as long as it’s solving a problem, it will continue to succeed, and that creates a technology waterfall. For example, mobile is no longer pushing the envelope, but they’re still making designs. There’s no turn downturn in the design. It may not be leading the way at the top of the pyramid, but it’s still the top of the pyramid for the waterfall into edge technologies and consumer technologies. AI and ML and the data center are potentially leading to a second tier that’s driving large-scale integration technologies.”

Packaging
The rise in high-performance computing and AI is also driving another industry – packaging. “Packaging was one of the things that was heavily neglected over the last 20 years, and now has come into its own,” says IC Manage’s Drako. “A lot of that is being driven by AMD, which was one of the first to drive the chiplet concept. This was a significant breakthrough because it increased yields and made the chips more manageable to manufacture. The only downside is that it requires them to figure out how they’re going to package them up.”

An increasing number of companies are following. “3D-IC is changing everything, and it’s getting more routine,” says Goldman. “I wouldn’t say it’s routine yet, but more and more people are going in that direction. Now we’re talking about companies like Arm, which has to manufacture things to participate in a chiplet market. They didn’t have to do that before. We’re talking about a whole new market and how to trade chiplets, and who can put chiplets together from different manufacturers. This requires a lot of new EDA capabilities. A lot of technologies that were needed in PCB design or system design, such as structural and thermal, are now coming into ICs. It’s another thing that’s changing everything.”

But commercial chiplets are not there yet. “I’ve been surprised at the interest, but disappointed in the uptake of 3D-IC chiplets,” says Hand. “There’s a lot of interest, and we’ve got the capabilities. The industry is ready, but people are still working out the ecosystem. As a result, the technology has remained with companies that are fully integrated and doing everything themselves. As an industry we have to do more to address the ecosystem if we really want to see the potential of 3D-IC take off. We’re seeing very rapid evolution of some of the interface standards tied into 3D-IC, as well, with UCIe and CXL — those types of large heterogeneous integration standards.”

The ecosystem is growing, too. “Significant improvements were made toward a genuinely open chiplet ecosystem in 2023 in the 3D-IC and chiplets domains,” says Schirrmeister. “There is a lot of discussion about the die-to-die connections, like BoW, UCIe, and XSR. Local ecosystems, like the imec-driven automotive chiplet initiative, are forming. While the proprietary chiplet activities have been around for quite some time – controlling all sides makes that possible – a genuinely open ecosystem is still some time away.”

New leaders are emerging. “I was somewhat surprised at how strongly the foundries are getting involved in the 3D-IC ecosystem,” says Swinnen. “We saw TSMC come out of the starting gate with 3Dblox. It’s not often that a foundry proposes a standard. Usually they adopt industry standards, but they proposed the standard as a collaboration with the EDA vendors and other partners. There was always this question about the interposer. Is it a small compact PCB or is it really a big chip? It’s still a meet in the middle. But my perception solidified this year that it’s becoming a semiconductor-centric endeavor, especially with things like 3Dblox. 3D assembly will be seen as a giant chip assembly and less as a PCB, although there’s a lot of overlap.”

All of this is good for EDA. “As the cost of failure goes up, then the need for sign-off and correctness continues to go up,” says Narain. “If you have a very complex component, and it’s going to fail in the field after initially functioning correctly, or over a period of time because of aging, then you either replace it or you diagnose it. And so you build some fault tolerance into the component. All this design work will have to happen on the front end. Indirectly, the economics of all of that is a positive for us, because you need to plan for these outcomes and you need to execute to check for these failures.”

Editor’s Note: Part 2 will examine the impact this is having on the EDA industry, which is transformative.



Leave a Reply


(Note: This name will be displayed publicly)