Cracking The Auto IC Market

Race is on to grab a share of this growing market, but it’s not so simple.

popularity

The market for automotive electronics is booming, and it has set off a global scramble among established chipmakers and startups.

What’s becoming clear, though, is that not everyone understands just how different automotive is from the mobile market. Mobile is still the highest-volume market for semiconductors, but the growth has flattened. In contrast, the value of the automotive electronics market is rising quickly, and more chipmakers are attempting to stake a claim.

“Everybody thinks automotive is the next big market,” said Jim Feldhan, president of Semico Research. “If you’re on the high end, such as Nvidia, Intel, AMD and others who do high-end computing, they’re looking at autonomous driving and thinking, ‘There are 120 million-plus cars manufactured per year. One day, they’ll all have the equivalent of multiple servers through the autonomous driving system. That’s a lot of compute power.’”

Semico predicts the automotive segment of the semiconductor industry will grow to $73 billion by 2023. And while it may take a while before fully autonomous Level 5 vehicles hit the road, there already are very high-end compute capabilities in Level 3 Teslas.

“Along with that it has memory, analog, as well as a bunch of power and sensor products,” Feldhan said. “Then you look at the connectivity and the connected car and trying to get connected up to the smart city, or vehicle-to-vehicle communications — all of the stuff that centers around safety and traffic control. Those are all going to essentially be like fancy cell phones, and ours are already becoming hotspots. So you have a mobile hot spot and you don’t have to stop at Starbucks.”

Infotainment continues to be a significant differentiator for automotive. But as those systems increasingly are drawn into safety, either as part of an alert system or as a failover for other parts, they are being subject to the same rigorous standards as engine-control electronics. The bar set by automotive OEMs is zero defects per billion for 15 years, which is particularly daunting considering much of this has to happen under extreme conditions.

What’s different
A lot of cellular chip designs by companies such as Samsung and Apple have dominated the leading edge of design.

“For the most part it’s 16nm all the way down to whatever the latest and greatest is,” according to Mary Ann White, director of marketing for Synopsys’ Design Group. “There’s obviously 10nm, probably 8nm versions of cellular phones that are out there today, but they instantly jump to finFET or whatever the latest process node is, and they’ve probably already done tape-outs at 5nm and 3nm. The big difference between mobile and automotive is that when it comes to cellular phones, and graphics, consumers want the latest and greatest. In automotive, there are sensors that are still at 150nm, for example, and there’s no reason to move to 7nm. And given the fact that automotive design requires a lot of redundancy, design sizes and area are not as much of an impact. It’s not as much of a concern as it would be like on a cellular phone.”

In the cellular world, designs regularly hit 3 GHz, where frequency and speed is everything. In automotive, frequencies vary greatly. But the two worlds are beginning to merge, at least in one respect. “Now you’re bringing things that are really low frequency to something that’s cellular-phone-like, because you’re building 5G-connected cars now,” said White. “So all of a sudden it becomes the same as cellular phone.”

Where they differ greatly is on the voltage side. In mobile applications, voltages have to be really low to conserve battery life. “1.8 volts is kind of a stretch, but definitely people are going to half Vdd, 0.5 volt, and so on,” White said. “Conversely, there are always high voltages inside cars, and a lot of automotive semiconductors are still very much analog, so the device must operate correctly in a much wider range.”

Automotive chips also have to operate in a wide temperature range (-40°C to 155°C, compared with 0 to 40°C for a mobile device), and they have to last at least 15 years, compared with less than three years for a mobile phone.


Fig. 1: Comparison of automotive IC requirements versus mobile. Source: Synopsys

In addition, failure rates need to be significantly lower than in the mobile space. “Cellular phone providers ask for less than 10%,” White said, “whereas ISO 26262 pretty much goes to 10 years, but we’re seeing customers now that want 15 to 20 years with less than 1 defective part per billion (1 DPPB). You’re okay if your cellular phone resets on you and turns on. You’re not going to get hurt. But imagine your car needing to reset. That’s not possible.”

The open, bumpy road
Still, the opportunities in mobile electronics are flattening, while those in automotive are expanding.

In 2004, factory-installed power seats saw 50% or less adoption, and only a quarter of cars had airbags. Government regulations drove the adoption of safety-related features installed in vehicles today.

Today, the content of electronics/electrical (EE) systems is growing dramatically, but the demands for robustness and perfection are much higher.


Fig. 2: Increased adoption of factory-installed equipment. Source: Semico Research

“If you’re making consumer products, you’re designing it, and if it has a little bug, you’ll do a rev on it and you’ve got another model coming out in six months or eight months,” said Semico’s Feldhan. “That doesn’t work in the car industry. The design cycle is much longer and it’s got to be perfect. Also, once you jump through all those hoops, then that product is usually in there for an extended period of time, which also means you have to support that design for many years. If you’re in a consumer product and in a couple of years you’ve obsoleted that part, chances are you’ve got three other parts that have surpassed that.”

On top of that, consumers want the same security, reliability, and high-performance of the connection in the car that they have at home or in the office, with some innovative approaches being developed to make that happen. Marvell, for one, is developing dual concurrent Wi-Fi for inside and outside the vehicle based on connectivity enablement chips and building blocks such as 1000BaseT Ethernet PHYs, WiFi devices to support 802.11ac and 802.11p, along with Bluetooth PHYs and secure gigabit Ethernet switches.

“When we introduced the combo WiFi/Bluetooth in an automobile, we pioneered the mobile hotspot use case,” said Avinash Ghirnikar, director of technical marketing for Marvell’s Connectivity Business Group. “Then we brought in rear-seat entertainment over WiFi, as well. Customers expect the same experience that they have at home or at work to be in the car with regard to security, reliability and speed. Their latest and greatest smartphone, which has 802.11ax, works great at home and in the office, but when they bring it into the car it sort of slows down to a crawl. Why does that happen? Those kinds of things they do not want. This is one of the reasons that 802.11ax will play a critical part. Delivering this kind of a seamless WiFi experience is really becoming challenging in an automotive environment. There are many cars on the road, there are things that you have to deal with in the automotive cockpit. This is where 802.11ax comes to the rescue.”

Modernizing the car
Even traditional automotive IC design is changing.

“If we look at microcontrollers, which are probably the highest-volume, most-complex chip traditionally, we can see about 100 ECUs around the vehicle, each one with a microcontroller, maybe with 16 megabytes of flash,” said Andrew Macleod, director of automotive marketing at Mentor, A Siemens Business. “Some of these things have embedded flash on chip, communication peripherals, CAN, Ethernet—usually single-core. There are maybe five or six IC houses around the world that are very expert in designing zero-defect chips and have the design-rule checking and test methodologies to manufacture these parts at the proper defect rates. That’s what we’ve seen over the last 15 years and that’s pretty well understood. The new world is driven by the automotive megatrends, namely, autonomous and electrification. We’re seeing a move away from having 100 to 120 ECUs with a microcontroller toward these big domain controllers in the vehicle, which is a completely different challenge in terms of design. This means instead of looking at a relatively simple microcontroller chip, now with the AI chips and GPUs and ASICs to manage the massive compute and low power requirements, things are pulling in the opposite direction.”

This adds a lot more complexity to the classic automotive supply chain, where a chipmaker sells silicon to a Tier One, which then integrates it into a module and sends it to the carmaker.

“This means we have to accelerate the lead time developing these chips,” MacLeod said. “All of a sudden the supply chain is trying to figure out to collaborate, because the only way we can reduce development time is by having bits of IP running in an emulator and starting to write software for it a year or more before the silicon ever comes out of the fab. You can have a whole SoC or parts of specific IP in the emulator. For example, you can be feeding in synthetic sensor data, especially if its for an autonomous vehicle sensor fusion chip, to an emulator. You can then output to some kind of tool that emulates vehicle behavior so even before there’s any silicon at all you can be testing the IP, testing the algorithms, see how it responds to sensor data and actually see the vehicle dynamics behave in response to that as well. That’s a huge challenge for the supply chain because carmakers are designing their own ICs now. Tesla publicly talked about that several times. While some of the Tier Ones are designing ICs, they also must be more involved in the software. Continental buying Elektrobit is a good example of this. Also, the IC houses now are doing electronic control units.”

The open question is how each player in the supply chain adds value.

“The model of collaboration is going to be the way forward,” Macleod noted. “How do we share IP even between companies that are both competitors and customers of each other? How do you manage all of that?”

A different world
Automotive design best practices and cost control are a work-in-progress across the semiconductor industry.

Ian Dennison, senior group director for Cadence’s Custom IC & PCB Group, pointed to a number of changes that need to be considered. One involves reliability, where the best practice is to mitigate interconnect electromigration and transistor aging during an expected vehicle 15-year lifetime in both analog and digital. But ICs still have the potential to fail during a vehicle’s useful lifetime, perhaps because of age, perhaps because of latent manufacturing defects, or perhaps because of unusual thermal stress or electromagnetic interference encountered in the vehicle.

Regardless of cause, Dennison said ICs must be able to detect and signal failure, to enable potentially life-saving corrective action such as switching to duplicate capability, or moving the vehicle into fail-safe modes. And to be able to do business in the modern automotive market, semiconductor providers need ASIL ratings for their ICs to grade their failure-detection coverage.

Failure checks on logic often are achieved with software diagnostics, LBIST/MBIST, and triple voting flops, but with analog there is often a need for a dedicated silicon checker that has intimate knowledge of the analog function. This adds considerable design time and real estate to analog blocks. There is automation for the design of self-checking chips, but there are also manual steps and requirements for professional judgment during the ASIL process. At the end of the day, a semiconductor provider needs to sit down with an ISO 26262/ASIL auditor to work through the evidence, and in practice audit organizations offer consultancy during the IC design process, as well.

In addition, a semiconductor company needs to understand its automotive customer. “For instance, do they expect ASIL D parts (the highest rating) that take significant additional investment, or will they accept ASIL B parts and seek a higher ASIL rating from their own auditor through IC duplication and redundancy in their system? Working out these responsibilities and reducing cost is ongoing as the industry moves design for ISO 26262 to the mainstream,” Dennison said.

“When electronics in a car meant only synchronized door lock/unlock and central control of windows, nobody worried too much about failures since most problems could be overcome by reversion to manual techniques until repairs could be made,” said Tom Anderson, technical marketing consultant at OneSpin Solutions. “Electronic dashboards took reliability requirements up a notch since it was virtually impossible to drive a car with no display. Electronic cruise control had a similar effect on safety requirements, since some failures modes (such as constant acceleration) could be very dangerous. Today, advanced driver-assistance systems (ADAS) for such functions as hazard detection and parallel parking have become quite common. As drivers come to rely on such systems, both reliability and safety must be improved.”

This is under particular scrutiny with autonomous vehicles.

“Recent crashes have shown both the limitations of the current technology and the willingness of drivers to turn over all control to imperfect systems,” Anderson said. “Some who haven’t followed manufacturers’ instructions to maintain manual control at all times have paid with their lives. While the true self-driving car remains a future product, there is little doubt that many consumers would like this capability, and many manufacturers are working hard to provide it. In response, automotive electronics developers are focusing more than ever on reliability and safety, while adding the challenges of trust (chip and system work as designed, with no introduced back doors or Trojans) and security (system cannot be hacked and controlled externally).”

Requirements handling
One of the disciplines unique to markets such as automotive and aerospace involves handling and tracking of requirements.

“The automotive ISO 26262 standard details a ‘systematic’ design and verification flow where individual requirements are specified formally,” said Dave Kelf, chief marketing officer at Breker Verification Systems. “They then drive specific design and verification plan elements through to verification coverage of the individual requirements and fed back to the original plan. This is an excellent practice for any development effort. The well-known V-Model lays out the nature in which this can effectively take place with conceptual requirements decomposed down to detailed features, and the verification plan then abstracted up to allow coverage metrics to be measured against high-level plan elements.”

This process is becoming automated, and new verification technology can help, he suggested. “All of the verification arsenal must be brought to bear on these designs for the level of coverage required,” Kelf said. “Ensuring that all engines are used correctly and that coverage metrics are compounded across these engines to a level that might be measured against requirements needs a portable, abstract test and coverage approach. Portable Stimulus is a new methodology that is ideal for this purpose, whereby requirements can be detailed in an executable specification and then high-level constraints are applied to this specification to drive individual scenario tests for each verification tool and process phase. Abstract path coverage across the Portable Stimulus specification may then be measured, providing the exact metric required from ISO 26262. Requirements may be coded in an executable specification manner, with coverage applied directly to them.”

Conclusion
Even though there are many issues to be resolved, and many methodologies to iron out, automotive IC design is beginning to converge with system design.

“These were different worlds 10 years ago,” Mentor’s Macleod observed. “Today, from an IC perspective, you’re almost emulating parts of what looks like an ECU. It’s almost ECU-type behavior with bits of RTL and bits of IP all over the place. System design and testing, bits of IP, way pre-silicon, what does it mean in terms of vehicle architecture, AUTOSAR and machine learning algorithms and so on? We’re going to see a blurring of those lines, and it will be very interesting to see how the supply chain responds to that. The carmakers want to figure out how the supply chain can better collaborate. The OEMs want to specialize in one part of the vehicle. They may not want to design every chip, or every ECU, but maybe for sensor fusion they do want to design their own custom chip, and they want the Tier Ones to focus on something else. To make this possible, there has to be collaboration, because these systems can’t run in isolation with an autonomous vehicle. They have to be part of the system with everything else. And doing all of this pre-silicon is not a trivial task.”

While some of the top 10 semiconductor companies already know what ISO 26262 is, others are pivoting to the automotive world. They are now trying to determine whether or how to be ISO 26262 compliant.

“You don’t necessarily have to be ISO 26262 yet,” said Synopsys’ White. “It’s not like the OEMs are saying you must be. But it’s better to be compliant because you show traceability that you have followed the process to make sure that EE systems are safe and will not cause harm to humans.”



Leave a Reply


(Note: This name will be displayed publicly)