Maximize SoC Compatibility With Flexible Pre- And Post-Processing


Building ASICs and custom ICs (integrated circuits) is becoming increasingly challenging. To create successful products with long-lasting market impact, it's essential for the critical IP to be differentiated by performance, power, and features. It is difficult to predict and design for every potential application, especially considering each application has unique interfaces and processing req... » read more

Accelerate Complex Algorithms With Adaptable Signal Processing Solutions


Technology is continuously advancing and exponentially increasing the amount of data produced. Data comes from a multitude of sources and formats, requiring systems to process different algorithms. Each of these algorithms present their own challenges including low-latency and deterministic processing to keep up with incoming data rates and rapid response time. Considering that many of these se... » read more

Expand Your Semiconductor’s Market With Programmable Data Planes


In nearly every communication interface today, many challenges exist. Not only must networks manage high volumes of data traffic, they must also be highly aware of malicious data intrusions. With increasing data moving though these networks, combined with demand for faster response times and lower latency, high performance packet processors came into existence as these were the only mechanisms ... » read more

eFPGA Gives You FPGA Speed And Density At Much Less Cost And Power


FPGAs are everywhere in all types of systems for their flexibility and quick time to market. As your volumes grow and you consider an ASIC to cut cost and power, you can now incorporate an embedded FPGA to continue to give you flexibility for the parts of your chip that need to adapt for changing standards, improving algorithms and customer optimizations. If you are an SoC designer, you c... » read more

eFPGA Architectural Improvements That Lower Test Cost And Increase Quality


More than 40 chips have been licensed to use EFLX eFPGA and >20 chips are working in silicon. Big customers like Renesas are planning high volume families of chips using embedded FPGA. As a result, we have gained extensive experience and knowledge in almost 10 years of doing eFPGA especially in production test for cost reduction and reliability improvement. eFPGA DFT and MBIST for high q... » read more

Use Cases And Value Proposition Of eFPGA


Flex Logix EFLX eFPGA is the first eFPGA that enables a customer to match the performance of FPGAs from AMD/Xilinx and Intel (in the same process node) with the same density (LUTs/mm2). EFLX eFPGA has been in use with customers now for more than 5 years, hardware and software. More than 40 chips have been licensed to use EFLX eFPGA and more than 20 chips are working in silicon. Big customers... » read more

The Next Generation Of Embedded FPGA


EFLX eFPGA has been in use in SoCs for more than 5 years, hardware and software. More than 40 chips have been licensed to use EFLX eFPGA and more than 20 chips are working in silicon. Big customers like Renesas are planning high volumes and families of chips using eFPGA. As we have worked with customers our architecture has evolved from EFLX Gen 1.0 to Gen 2.0, 2.1, 2.2, 2.3 and now in 2023 ... » read more

Connect To Any Chip With Programmable GPIO


Your MCU/SoC today may have several options for GPIO connections: UART, SPI, I2C. But there are dozens of variations and kinds of GPIO interface protocols: you don’t have enough pins to provide all of them as hardwired options. As a result, a significant number of your customers either can’t use your chip because they need to connect to another with a GPIO interface you don’t support, ... » read more

Manycore-FPGA Architecture Employing Novel Duet Adapters To Integrate eFPGAs in a Scalable, Non-Intrusive, Cache-Coherent Manner (Princeton)


A technical paper titled "Duet: Creating Harmony between Processors and Embedded FPGAs" was written by researchers at Princeton University. Abstract "The demise of Moore's Law has led to the rise of hardware acceleration. However, the focus on accelerating stable algorithms in their entirety neglects the abundant fine-grained acceleration opportunities available in broader domains and squan... » read more

Integrating 16nm FPGA Into 28/22nm SoC Without Losing Speed Or Flexibility


Systems companies like FPGA because it gives parallel processing performance that can outdo processors for many workloads and because it can be reconfigured when standards, algorithms, protocols or customer requirements change. But FPGAs are big, burn a lot of power and are expensive. Customers would like to integrate them into their adjacent SoC if possible. Dozens of customers are now u... » read more

← Older posts