Controlling film thickness to precise specifications is essential for ensuring high yield in high-performance devices.
Semiconductor devices are becoming thinner and more complex, making thin deposited films even harder to measure and control. With 3nm node devices in production and 2nm nodes ramping toward first-silicon, the importance of precise film measurement is only growing in significance as fabs seek to maintain the performance and reliability of leading-edge devices.
Whether it’s the read and write speed of memory devices, or the computation speed in logic chips, measuring the thickness of films is more challenging than it used to be because multi-layer stacks of differing materials are the norm, and an increasing number of these films must be controlled very precisely. This is leading to more metrology steps and higher sampling rates to ensure across-wafer uniformity.
As a result, companies are choosing different tools for different tasks, or combining techniques in one tool to achieve the precision and stability needs of next-generation processes. They are exploring which tools are being applied for high-k metal gate stacks, silicon photonics, through-silicon vias (TSVs), and redistribution layers to illustrate just some of the critical layers in semiconductor manufacturing. In addition to determining thickness, many techniques also may measure additional key parameters, such as film composition (Ge% in SiGe), refractive index, grain size, or film stress.
Some of the smallest dimensions in multi-layer stacks occur at the transistor level. The importance of critical dimensions (CDs) in the vertical direction increases with 3D transistors such as finFETs and nanosheet FETs, making film thickness control even more essential than it was in the past. In general, a process must operate inside a window that varies within 10% of the target value. In a world of 20nm features, this requires a tool capable of measuring 10% of variability or 1% of the target value. That translates to 0.2nm, which is essentially atomic-level measurement and control.
Fig. 1: Transistor level film stacks contain multiple thin films. Source: Onto Innovation
Zeroing in on critical films
Semiconductor fabrication, especially when it involves advanced system-on-chip devices, can include more than 1,000 process steps, including lithography patterning, deposition, etching, CMP, plating, etc. After thin films are deposited, they typically are monitored inline for process control purposes, most often using optical systems that deliver high throughput. But not all films in the device are considered “critical.” For example, non-critical or common layers include thick oxide and nitride dielectrics, photoresist, and back-end-of-line layers such as intermetal dielectrics. Critical layers include Si/SiGe heterostructures, high-k/metal gate stacks, and metal contacts. GaN and SiC films are critical in power devices. And silicon photonics films must meet extremely tight specifications for refractive index, the degree to which the light path is bent or refracted by that material.
The measurement systems typically used in fabs to measure and control film thickness include:
Spectroscopic ellipsometry: Directed at an oblique angle to the wafer, it facilitates the simultaneous measurement of the thickness and optical properties (refractive index and extinction coefficient) of multiple films.
Reflectometry: Directed normally to the wafer, it measures the intensity or phase of the light reflected from a film’s surface to determine thickness, density, or roughness.
Spectroscopic ellipsometry with spectroscopic reflectometry: This is the most popular method for thin film measurement because it can independently determine the thickness of each layer in a multilayer film stack.
Interferometry: An interferometer splits light into two beams, allows them to travel different paths, and then recombines them to produce an interference pattern. That pattern represents film thickness, surface irregularities, and refractive index changes.
X-ray measurements: XRD (diffraction) measures epitaxial thickness and composition, while XRR (reflectometry) measures thickness and roughness, and XRF (fluorescence) measures metal layer thickness. This is suitable for films up to 100nm thick.
Atomic force microscopy (AFM): A much slower method, this is especially useful in capturing surface roughness and measuring nanoscale features. It also is used for ground truth (actual) dimension measurements.
Cross-sectional TEM: Uses a high-energy electron beam transmitted through a thin slice of device (lamella <100nm thick) to reveal atomic-level dimensions.
Transistor fabrication
“The most critical thin film layers are so called high-k/metal gate (HKMG) film stacks, consisting of multiple ultrathin layers of dielectric and work-function metal films,” said Jiangtao Hu, senior director of product management for Onto Innovation. “Film thickness in HKMG stacks is one of the critical components that determines transistor speed, power consumption, and reliability.”
Because the HKMG stack is so thin, interactions between layers must be controlled very precisely in addition to thickness control, which keeps leakage current low, gate capacitance high, and ensures device reliability. “What’s important, as well, is roughness — especially the interfacial roughness of those gate-all-around stacks, which we can reveal using X-ray reflectivity,” said Juliette van der Meer, product marketing manager at Bruker. “These grown layers of silicon and silicon germanium are very thin, on the order of 2 angstroms, and they can be measured with some precision and accuracy.”
One example of a general tool designed to monitor multiple parameters in HKMG stacks is KLA’s Aleris broadband spectroscopic ellipsometry system for process development and in-line monitoring of HKMG films. It quantifies film thickness, composition, and stress measurement, including the ultra-thin decoupled plasma nitridation (DPN) process layers, which prevents boron dopants from altering transistor performance. The tool further tracks nitrogen and hafnium content in the thin films.
“The high-k/metal gate film stack is incredibly complex, making it challenging to separate the thickness of different layers without a large amount of spectra,” said Hu. “The advantage of combining multiple optical technologies, such as spectroscopic reflectometry (SR) and spectroscopic ellipsometry (SE), is that it allows us to obtain more information to independently determine the thickness of each layer in a multi-layer film stack.”
In RF devices, the crystallinity of films has a significant bearing on device performance. “XRD can be used to deduce the crystal size, and you can even deduce the stress in the film,” said van der Meer. “Although for BAW and SAW filters, the important measurement is of crystallinity at the face itself.”
Silicon photonics and quantum devices
Silicon photonics has unique requirements in the area of thin film measurement in that it requires ultra-precise measurement of refractive index. “In silicon photonics and quantum computing applications, the refractive index is really critical,” said Chris Claypool, senior R&D director for the FilmTek portfolio at Bruker. “What we do is totally unique from conventional ellipsometry or reflectometry, where you’re trying to extract refractive index and thickness simultaneously from amplitude changes in the spectra. We capture multi-angle, multi-modal data in one shot. So rather than just measuring amplitude as a function of wavelength, we measure the wavelength shift between the different angles of incidence, which is only a function of the refractive index of the films and the angle of incidence of the beam. If your angle is well characterized, you know the index of the material from the wavelength shift. So now you have an independent measure of index and thickness and can measure refractive index down to the 2 x 10-5 level.”
Enhancing optical measurements
Optical methods dominate the in-line process monitoring market due to their high throughput and no-contact capabilities, so many instrument companies are finding ways to improve on existing capabilities through data analysis. This is possible largely because of the widespread availability of machine learning algorithms and advanced data analytics combined with low-cost computing capability. For example, by using AFM measurements as a reference to train optical measurement systems, the optical system can become better at quantifying film roughness or topological variation. These training routines, taking place off-line, then can be used to continuously improve the performance of these optical tools.
Package-level applications
Although through-silicon-vias (TSVs) technically are processed at the wafer level as part of the front end, they are often discussed in the realm of advanced packaging because they allow communication between neighboring chips to which they are bonded, either by thermocompression bonding (TCB) or hybrid bonding. For TSVs, it is critical to monitor the via depth for consistency via-to-via across the wafer, as well as wafer-to-wafer.
Once again, the combination of spectroscopic ellipsometry and reflectometry comes in handy for measuring the depth of these thin and tall via structures. “On the thick film side, we essentially utilize a reflectometry technique, but our spin on that is we have a patent on the very small spot size collimated beam that allows us to measure over a huge dynamic range of thicknesses,” said Bruker’s Claypool. “So we can go from 10nm films all the way up to 2,000 microns of a dielectric, or 800 microns of silicon, with 1nm precision. That’s particularly useful for packaging applications where the scale is much bigger than for front-end applications.”
Another parameter that is critical to control in packaging is solder bump height. “Bump height is measured using laser triangulation technology, which is typically integrated as a built-in measurement sensor in multi-functional inspection tools, such as Onto’s Dragonfly system,” said Hu. The under-bump metallization system uses acoustic technology because metal layers are opaque to metal films. Acoustic methods measure the time it takes for a picosecond sound wave to travel to an interface and bounce back to the detector. Given the material’s sound velocity, thickness is determined.
In fan-out wafer level packaging and fan-out panel level packaging, the signals are distributed through layers of copper in dielectric known as redistribution layers (RDLs). As RDL features become smaller, from 10/10µm to 2/2µm lines and spaces, controlling line resistance with appropriate dimensional control has become essential. For an RDL process, the most important parameters to monitor are dielectric thickness, copper seed layer thickness, copper thickness, and line width. In general, the process must operate inside a window that varies within 10% of the target value. In addition to delivering accuracy and repeatability, the metrology system must be able to operate on product wafers. It needs to be able to:
One option for measuring the thickness of RDL polyimide layers, via height, and overlay between the top and underlying metal is white light interferometry.
Hybrid metrology?
Hybrid metrology, which integrates different metrology systems from one or more vendors, has the potential to deliver complementary capabilities in one platform. However, so far it has enjoyed very little adoption to date.
“Hybrid metrology has been discussed for at least the last 10 years, and there have been many papers showing that yes, people see the benefits,” said van der Meer. “But when we talk to customers in the real world, even about combining our XRR with XRF, they are not too keen on it. The integration requires more work, and two different tools are often not optimal.”
Perhaps the same can be said for in-situ metrology tools, where the tools are integrated with deposition or etching platforms. Outside of CMP and plating applications, the downsides of in-situ metrology include less precision than on standalone tools. In addition, the possibility for downtime due to added tool complexity has made in-situ metrology the exception rather than the rule.
AI and process control
Advanced process control is becoming more sophisticated, both because the cost of failing die has gone up and because machine learning algorithms can help engineers arrive at process optimizations more quickly while responding more quickly to tool excursions. “AI APC can be integrated with semiconductor process tools in several ways — by embedded capabilities inside the tool, or by placing monitors close to the process tool, or external to the tool. Ultimately, the access and control of the critical ‘data’ will always drive process optimization,” said Boyd Finlay, director of solutions engineering at Tignis. “We have embedded our AI APC platform inside of OEM tools where rapid ML algorithm processing speed was desired for multiple parameter complex math. We are currently helping another OEM bolt on AI/ML solutions, and we have deployed AI-based run-to-run control solutions on the fab side for lithography and etching control. In these deployments, our scalable Equipment Digital Twin ‘AI data shape’ harnesses our unique data compression IP for time series trace data, and our digital twin low code layer to automatically contextualize to the metadata with rapid indexing capabilities for simple to complex algorithms. So depending on the tool type, the processing time constraints, and the data types, we can implement better models and deploy low latency control solutions to remove the RMSE (root mean squared error) observed in legacy run-to-run control approaches.”
Finlay contends this kind of approach will be increasingly necessary. “Proponents of AI-APC know they need to be close to the tools with new ways of managing the AI ‘data-to-information’ automation,” he said. “Approximately 85% to 90% of implementing all good AI-APC solutions is mostly about how you store and query the process and tool data align with the signal contexts (metadata). This is why digital twin system designs are emerging and will eventually replace legacy relational databases and associated software solutions for industrial automation applications.”
Conclusion
Thin film measurements, together with other metrology capabilities, form a cornerstone of quality control and yield optimization. In-line metrology tools mostly use optical means — ellipsometry, reflectometry, interferometry — to precisely measure film thickness and refractive index, while some tools can quantify concentration and stress levels. In an industry that increasingly builds in the upward direction, film and feature heights will remain critical to device level performance and long-term reliability.
Related Reading
Using Test And Metrology Data For Dynamic Process Control
Fine-tuning process control is imperative for advanced packaging, but ongoing challenges can impact yield and quality.
Screening For Known Good Interposers
Increasing interconnect density is making it harder to guarantee these devices will work as expected.
Driving Cost Lower and Power Higher With GaN
Best practices continue to develop alongside an increased adoption of gallium nitride power devices.
Leave a Reply