E-beam Vs. Optical Inspection

Updated: Wafer inspection market sparks to life as existing equipment struggles at 10nm.

popularity

The wafer inspection business is heating up as chipmakers encounter new and tiny killer defects in advanced devices.

Last month ASML Holding entered into an agreement to acquire Hermes Microvision (HMI), the world’s largest e-beam inspection vendor, for $3.1 billion. The proposed move propelled ASML into the e-beam wafer inspection market.

In addition, Applied Materials this week officially entered into the e-beam wafer inspection market by rolling out a new tool capable of resolutions down to 1nm. With the tool, Applied is now a player in both the e-beam and optical inspection markets.

And not to be outdone, KLA-Tencor has expanded its portfolio of inspection tools, including the introduction of its next-generation, 193nm optical system. (In October, Lam Research signed a deal to acquire KLA-Tencor for $10.6 billion. That deal is still pending.)

Basically, e-beam and optical inspection are the two main technologies used to locate defects on a wafer. E-beam and optical tools are complementary technologies that perform different functions in the inspection flow.

Each technology has some tradeoffs. For example, e-beam inspection can find the smallest defects, but the throughputs are slow. That’s why e-beam inspection is mainly used in R&D.

In comparison, optical inspection is used in the production flow. Optical is faster, but it is being stretched to the limit at advanced nodes.

So what’s the big problem? Defects have always been problematic, but the ability to find them is becoming more difficult and expensive at each node. This is especially true as the industry migrates to 3D-like finFETs at 10nm and beyond. Even defects in advanced planar DRAMs are hard to detect.

“3D architectures limit the ability to detect and measure,” said Lior Engel, vice president of strategic marketing for the Process Diagnostics and Control Business unit at Applied Materials. “More advanced inspection technologies are required.”

Fortunately, though, tool makers are making improvements on both the optical and e-beam fronts, thereby giving chipmakers a fighting chance to address the defect issues at 10nm and beyond.

But in the inspection flow, optical and e-beam are headed on a collision course. E-beam inspection wants to play a bigger role in the production flow, posing a threat to optical. Meanwhile, the optical camp is taking steps to keep e-beam at bay.

“Optical is still the workhorse (in the fab),” Engel said. “I don’t see optical going away. Customers and vendors will do everything possible to extend the life of optical. But in more and more cases, optical cannot detect. It has more and more gaps due to all of these challenges (in finFETs and other architectures).”

For that reason, chipmakers must keep a close eye on both the e-beam and optical inspection markets. In total, the patterned wafer inspection market is projected to reach $1.52 billion in 2016, up from $1.36 billion in 2015, according to Gartner.

Of that total, optical inspection is expected to reach $1.28 billion in 2016, up from $1.12 billion in 2015, according to Gartner. Meanwhile, e-beam inspection is projected to reach $235.8 million in 2016, down from $241.4 million in 2015, according to the firm.

The challenges
Meanwhile, chipmakers have used wafer inspection tools for years, but the challenges were once relatively simple. In planar devices, for example, inspection and metrology tools could simply make line-of-sight images in two dimensions.

But as the industry has moved to , finFETs and advanced DRAMs, the tools must see complex structures and materials in three dimensions. According to Applied Materials, here’s just a few of the inspection challenges at 10nm and beyond:

  • Defects can get rooted deep into the finFET structures. Even worse, the defects can become buried.
  • Hard to see bridge defects can occur in pFET structures.
  • Undetected epitaxial overgrowth may happen in nFETs.

“The other aspect is that as you shrink below 10nm, the process control aspect of it is becoming more important,” said Dave Hemker, senior vice president and chief technology officer at LAM Research. “There are variations. Now that we are controlling things on the atomic level, very small variations can impact the end result. It’s not just from a defect yield perspective, but also from a device performance perspective.”

Those are just some of the challenges in wafer inspection.

Dissecting the flow
The wafer inspection flow involves four parts—engineering analysis; critical line monitoring; line monitoring; and tool monitoring.

E-beam inspection is used for engineering analysis within the R&D groups. Optical inspection is used for line and tool monitoring in the fab. Critical and regular line monitoring find the defects in wafers. Tool monitoring determines if a given piece of fab equipment is the root cause of defects on the wafer.

The workhorse optical technology in the fab is called brightfield inspection. Brightfield collects light reflected from a defect. In turn, the defect appears dark against a white background.

E-beam vs. optical
The inspection flow starts in R&D, where the goal is to capture as many defects as possible. For this, e-beam inspection is used to find the smallest defects, as the technology has sensitivities down to 3nm or lower.

In an e-beam inspection system, the stage moves the wafer to a given location. Then, the electrons hit a small spot size on the wafer. The image data is then collected on a pixel-by-pixel basis.

Traditionally, e-beam inspection has been used for voltage contrast and physical defect applications. In voltage contrast applications, the e-beam inspection tool looks for buried defects, opens and breaks in structures.

The big market for wafer inspection is the physical defect arena. Both e-beam and optical inspection are used to find physical defects in R&D and the fab. Physical defects, the tiny and/or killer defects in a design, include voids, protrusions and bridges.

At times, e-beam inspection can find tiny defects that can’t be caught by optical tools. “The use of e-beam inspection for detection of physical defects has become very popular for defect types that have proven difficult for broadband plasma inspection, otherwise known as brightfield inspection,” said Oliver Patterson, an e-beam inspection expert, in a presentation at the ASMC conference in 2015. (Patterson, who was with IBM at the time of the presentation, is now a senior technical staff member at GlobalFoundries.)

But as before, the problem with e-beam inspection is throughput. Generally, e-beam inspection times are 1,000 times (or more) slower than optical, according to experts.

One way to boost the throughput is to increase the spot size on the surface. For this, the tool generates more electrons on the surface.

This doesn’t always work, however. Basically, electrons don’t like each other. And at times, the electrons may end up repelling each other on the surface.

As a result, e-beam inspection is not used to inspect the entire wafer. Instead, it is used to find defects for only a small part of the wafer. This is sometimes called hotspot inspection.

Generally, chipmakers use optical inspection to inspect the entire wafer. The throughputs for optical inspection range from 1 or more wafers an hour.

“E-beam still does not have the capability of doing large areas in a timely manner,” said Bob Johnson, an analyst with Gartner. “The real benefit comes from scanning small areas of a die looking for specific defects. While there are some claims that e-beam can scan enough die to get wafer-level defect signatures, these are still done by scanning a very small area on multiple dies on the wafer.”

All told, e-beam inspection won’t replace optical inspection in the fab. But chipmakers still want e-beam inspection tools in the flow, although they want systems with better resolutions and higher throughputs.

Looking to address the problem, Applied Materials has rolled out the so-called Provision system, a new e-beam inspection tool. The column within the new system generates a relatively large amount of electrons on a smaller spot size. This, in turn, enables the system to handle resolutions down to 1nm. The tool, according to Applied, is also three times faster than current machines on the market.

Even so, e-beam won’t displace optical. But the capabilities of Applied’s system will broaden the applications for e-beam inspection.

“E-beam inspection is still used heavily in R&D,” Applied’s Engel said. “But as the complexity continues, we are already seeing it used in the ramp. In today’s nodes, some systematic problems remain. And then customers will need to monitor these problems.”

In fact, HMI and Applied are going after an inspection area called hotspot process monitoring. This not only involves capturing electrical and physical defects in R&D, but also for in-line monitoring in the fab.

For this, Applied’s tool makes use of a technique called massive sampling. For example, a chipmaker would look for defects at specific parts on a die, and then they would look for problems on multiple dies.

Using massive sampling techniques, a chipmaker can find a source of abnormality in minutes, according to Applied. Previously, this process could take days.

There are other e-beam inspection applications as well. For example, with the proposed acquisition of HMI, ASML will enter the e-beam inspection space. ASML also sees it as a way to bolster its process control strategy.

For example, ASML has an inline overlay metrology tool. The data is fed from the overlay tool into ASML’s computational lithography models as a means to optimize the control of a scanner.

HMI’s e-beam inspection tools could accomplish a similar task. “HMI has a robust design-to-database solution,” said Neal Callan, vice president of fab products at Brion Technologies, the computational lithography division of ASML. “So they can do intensive defect discovery.”

From there, data is fed from HMI’s e-beam tools into the models. “We can use this capability to improve our models,” Callan said. “This all leads to better process control.”

At the same time, ASML’s models will help guide HMI’s e-beam tool to the more critical parts of the device. This, in turn, will improve e-beam inspection times, making it more cost-effective, according to ASML.

So, e-beam inspection is cracking the production flow, at least to a limited extent. “I don’t see e-beam moving significantly into the production flow once the basic yield problems have been solved,” Gartner’s Johnson said. “At that point, inspection is primarily done at the wafer level, with total defect counts being tracked against acceptable levels.”

Optical forever?
For wafer-level inspection in the fab, chipmakers still rely on the traditional technology–optical. “So, you would want to try and extend optical as much as you can,” said Mark Shirey, vice president of customer engagement at KLA-Tencor.

Still, like e-beam inspection, optical gets more difficult at 10nm and beyond. “Obviously, there are no shortages of challenges on the leading edge, especially with regards to defectivity and metrology,” Shirey said. “A lot of these challenges lead to more sampling requirements.”

Optical inspection is not exactly on its last legs, although the technology is being stretched to the limit somewhere between 20nm and 10nm, according to experts. “There are a lot of noise challenges with defect detection. In a lot of ways, noise is becoming signal. Those things that were noise are now becoming important,” Shirey said.

“Then, of course, there is classical scaling,” he said. “As customers are working towards 10nm and 7nm, as well as (advanced) DRAMs, they are finding smaller defects.”

All told, chipmakers want an improved signal-to-noise ratio and faster throughputs with optical inspection. Traditionally, the throughputs for critical line monitoring are 1 to 2 wafers an hour. Cost-of-ownership is also key.

Looking to solve this problem, KLA-Tencor has officially rolled out its next-generation optical wafer inspection tool. The tool, dubbed the 3900 Series, consists of a source capable of wavelengths down to 193nm, compared to 257nm in the previous system. The resolution of a tool is improved by moving to shorter wavelengths.

With the new tool, KLA-Tencor has extended optical inspection beyond the so-called grey area. “When a defect is responding to those wavelengths for the 3900, we are seeing sub-10nm defects,” Shirey said. “The very best defect we’ve seen is a little protrusion. It was 5nm.”

The 3900 complements another new tool from KLA-Tencor. That tool, called the 2930 Series, is a new version of the company’s broadband plasma inspection tool line.

Using a source with longer wavelengths, the 2930 can still do the most demanding jobs. “That’s because the defects are buried or shadowed by a material. We find that UV visible light penetrates something like poly and can detect it,” he said. “It’s not always about resolution. But it’s about material contrast and the light interacting with the defect.”

Both the 3900 and 2930 provide full wafer inspection in approximately an hour. This, in turn, allows the fast collection of wafer- and lot-level defect data in the flow.

In addition, KLA-Tencor rolled out other inspection tools. This includes the eDR7280, a new e-beam review tool. This system provides a more accurate representation of the defect population detected by the optical tools, thereby reducing the time required for defect discovery.

Related Stories
Speeding Up E-beam Inspection
Finding Defects Is Getting Harder
ASML To Buy Hermes



Leave a Reply


(Note: This name will be displayed publicly)