The Week In Review: Manufacturing

Potential fab tool mergers; Applied-TEL deal; GF’s supplier awards; acquisition mania.

popularity

As semiconductor technology becomes more challenging, expect more mergers and acquisitions in the fab tool sector. In a research report issued this week, Weston Twigg, an analyst with Pacific Crest Securities, sees several possible M&A scenarios in the future. There is no evidence that any deal is pending right now. But according to Twigg, here are some possible M&As that could happen in the future:

*KLA-Tencor continues on its acquisition spree. “KLA-Tencor could increase its share by acquiring smaller companies such as Nova, Rudolph and Nanometrics. Lithography is also becoming more dependent on integrating process control data, which could lead to a merger with ASML or perhaps a very bold KLA-Tencor bid for Nikon’s lithography assets to become an ASML competitor,” Twigg said.

*Applied Materials to buy Nanometrics? “We think this is a perfect fit, since it would provide Applied with more tools to compete against KLA-Tencor and Applied could drive substantial cost synergies,” he said.

*A metrology combo. “A successful combination of Nanometrics, Rudoph and Nova could create a strong process control company and provide necessary scale to remain a viable competitor to KLA-Tencor for years to come,” he said.

*More M&A in Japan. “Hitachi High Tech and Dainippon Screen could also come into play. If the Applied Materials/Tokyo Electron merger progresses well, we think it is possible that portions of Hitachi High Tech (process control, etch) or Dainippon Screen (wafer clean, anneal, process control, track) could become acquisition targets,” he added.

————————————————————————————-

Speaking of M&A, meanwhile, Applied Materials announced that its stockholders have approved its proposed acquisition of Tokyo Electron Ltd. (TEL).

GlobalFoundries recognized the winners of its first-ever global Supplier Awards. JSR was named the recipient of the Excellence Award for Collaboration and Sustained Support. Spotlight Awards for suppliers that exhibited superior performance and collaboration were presented to Lam Research, TEL and SUMCO.

In a video, Sergey Babin, founder and president of aBeam Technologies, describes the dangers of the charging effect on mask writing and efforts underway to solve this problem.

Advantest has introduced the first member of its NEO-SSD family of products for testing advanced solid-state drives (SSDs). In addition, Advantest has also announced its new T2000 Enhanced Device Power Supply 150A module. This enables its T2000 test platform to handle the load requirements for testing of both high-current and low-voltage semiconductors.

Dialog Semiconductor and mixed-signal foundry vendor ams confirmed that the two companies are in preliminary discussions regarding a possible merger.

Avago recently acquired LSI. Now, Avago will acquire PLX, a supplier of PCI Express silicon and software connectivity solutions, in an all-cash transaction valued at approximately $309 million.

Audience has signed a definitive agreement to acquire Sensor Platforms for $41 million of cash.

Startup mCube, a provider of MEMS motion sensors, has closed a $37 million Series C financing round. Existing investors participating in the round include Kleiner Perkins Caufield & Byers, MediaTek, iD Ventures America, and DAG Ventures. New investors include Keytone Ventures, SK Telecom (China) Ventures and Korea Investment Partners.

Semiconductor manufacturers closed 72 wafer fabs between 2009 to 2013 and another nine fabs are slated to close in 2014, according to IC Insights.



Leave a Reply


(Note: This name will be displayed publicly)