Manufacturing Bits: July 10


Ruthenium interconnects Imec has developed a process to enable ruthenium (Ru) interconnects in chips at 5nm and beyond. Ru is one of several candidates to replace traditional copper as the interconnect material in chips. The interconnects, which reside on the top of the transistor, consist of tiny copper wiring schemes that transfer electrical signals from one transistor to another. The int... » read more