Tessent Hierarchical ATPG Reference Flow for Arm Cortex-A75

How to speed up the implementation of a hierarchical test solution.

popularity

Arm and Mentor have jointly developed a reference flow for a hierarchical DFT and ATPG implementation with Tessent for any Arm subsystem based on Cortex A-series IP. The reference flow, described in this paper, provides documentation, seamless interfaces, and scripts that accelerate the implementation of a hierarchical test solution. Arm and Mentor are dedicated to enabling customer success, regardless of their level of experience implementing hierarchical ATPG on Arm cores.

To read more, click here.



Leave a Reply


(Note: This name will be displayed publicly)