ON Semiconductor Reduces Memory BiST Insertion Time By 6X With Tessent Hierarchical Flow

A deep dive into design for test.

popularity

This paper describes a case study on the insertion of memory BiST for an ON Semiconductor multi-million gate-level netlist with 300 memory instances. The physical implementation will be done using a flat layout. Two different methodologies can be applied when it comes to physical implementation; hierarchical or fullflat. When performing physical implementation as full-flat flow, typically the DFT methodology also follows the same decision. Thus, DFT is to be inserted once for the entire gate-level design.

To read more, click here.



Leave a Reply


(Note: This name will be displayed publicly)